没有合适的资源?快使用搜索试试~
我知道了~
文库首页
开发技术
硬件开发
2-1多路选择器的Verilog HDL程序
2-1多路选择器的Verilog HDL程序
共2个文件
v:2个
多路选择器
VerilogHDL
需积分: 45
34 下载量
110 浏览量
2017-03-26
22:04:01
上传
评论
收藏
845B
ZIP
举报
温馨提示
立即下载
2-1多路选择器的Verilog HDL程序
资源推荐
资源详情
资源评论
2选1多路选择器的VerilogHDL设计.docx
浏览:84
5星 · 资源好评率100%
2选1多路选择器的VerilogHDL设计.docx2选1多路选择器的VerilogHDL设计.docx2选1多路选择器的VerilogHDL设计.docx2选1多路选择器的VerilogHDL设计.docx2选1多路选择器的VerilogHDL设计.docx2选1多路选择器的VerilogHDL设计.docx2选1多路选择器的VerilogHDL设计.docx2选1多路选择器的VerilogHD
mux21_2选1多路选择器的VHDL_
浏览:54
5星 · 资源好评率100%
FPGA(现场可编程门阵列)与 CPLD(复杂可编程逻辑器件)都是可编程逻辑器件,它们是在PALGAL等逻辑器件的基础之上发展起来的。同以往的PALGAL等相比较,FPGA/CPLD的规模比较大,它可以替代几十甚至几千块通用IC芯片。这样的FPGA/CPLD实际上就是一个子系统部件。本次EDA课程设计就是利用VerilogHDL来设计设计一个2选1多路选择器
2选1多路选择器的VerilogHDL设计.pdf
浏览:28
2选1多路选择器的VerilogHDL设计.pdf2选1多路选择器的VerilogHDL设计.pdf2选1多路选择器的VerilogHDL设计.pdf2选1多路选择器的VerilogHDL设计.pdf2选1多路选择器的VerilogHDL设计.pdf2选1多路选择器的VerilogHDL设计.pdf2选1多路选择器的VerilogHDL设计.pdf2选1多路选择器的VerilogHDL设计.pdf
verilog hdl经典例子
浏览:98
这都是些verilog经典的例子,例如译码器,多路选择器等等
verilog数据选择器
浏览:64
在ISE平台上使用verilog编写的数据选择器源代码; 在ISE平台上使用verilog编写的数据选择器源代码;
2选一数据选择器源代码(verilog HDL)
浏览:174
4星 · 用户满意度95%
2选一数据选择器源代码(verilog HDL)
双2选1多路选择器的程序
浏览:195
双2选1多路选择器的程序双2选1多路选择器的程序双2选1多路选择器的程序
verilog选择器4选一
浏览:171
5星 · 资源好评率100%
verilog选择器4选一,四路输入,一路输出,还有两位做选择,压缩包里有生成的vcd文件以及*.v的源代码。
3-8译码器,4选1多路选择器,Verilog HDL实验,华中科技大学
浏览:29
5星 · 资源好评率100%
3-8译码器,4选1多路选择器,Verilog HDL实验,华中科技大学
四选一多路选择器vhdl程序代码
浏览:148
四选一多路选择器vhdl程序代码,利用max+plus软件进行仿真,课堂上的代码,亲测成功。
Verilog HDL测频程序
浏览:31
基于VerilogHDL的等精度频率计。用于测试频率很准。分享给大家。用于显示没有问题的。响应慢些
Verilog HDL 数码管显示程序
浏览:75
5星 · 资源好评率100%
Verilog HDL 数码管显示程序,可以动态显示
Verilog HDL程序风格指导
浏览:41
对规范Verilog HDL程序编码风格,增加代码可读性很有帮助。资料来自复旦微电子。
Verilog HDL数据选择器工程文件
浏览:33
Verilog HDL数据选择器工程文件
C程序的Verilog HDL实现
浏览:48
随着电子信息技术的不断发展,电子系统模块化的设计思想已经深入人心。因此,加强软件和硬件模块设计经验的相互借鉴意义重大。针对这种情况,借助于有限状态机理论,提出了一种将C程序转化成Verilog HDL描述的具体方法。同时,详细介绍了有限状态机理论,分析了在利用有限状态机进行设计时应该遵循的准则,并给出了一个具体的转化实例和最终的仿真结果。从仿真结果可以看出此方法是可行的。
Verilog HDL代码实例
浏览:17
4星 · 用户满意度95%
multiplexer : 多路选择器器建模 decoder : 译码器实验程序 adder : 加法器实验程序 comparator : 比较器实验程序 counter16 计数器建模 IIC I2C接口标准建模源码 RS232 串行接口RS232标准建模源码 LCM 标准LCM建模源码 6分频:
CPLD开发板配套veriLOG HDL 例程源码之多路选择器
浏览:185
4星 · 用户满意度95%
CPLD开发板配套veriLOG HDL 例程源码之多路选择器
VHDL语言实现多路选择器
浏览:15
4星 · 用户满意度95%
多路选择器和多路分配器是数字系统中常用的中规模集成电路。其基本功能是完成对多路数据的选择与分配、在公共传输线上实现多路数据的分时传送。此外,还可完成数据的并-串转换、序列信号产生等多种逻辑功能以及实现各种逻辑函数功能。因而,属于通用中规模集成电路。
2选1数据选择器_verilog_
浏览:66
用modelsim实现2选1数据选择器的功能
verilog 写编码器、计数器、译码器、多路选择器等
浏览:79
电子电路,数据分配器,三态门,多路选择器,寄存器,计数器等
VHDL 4选一选择器
浏览:3
VHDL语言,四选一选择器试验。 entity mux41a is port(a,b:in std_logic; s1,s2,s3,s4:in std_logic; y: out std_logic); end entity mux41a; architecture one of mux41a is signal ab:std_logic_vector(1 downto 0); be
Verilog VDL编写的四选一数据选择器报告
浏览:41
5星 · 资源好评率100%
Verilog VDL编写的四选一数据选择器报告,第一次使用Quartus 2,步骤详细,里面有附源代码。
四选一 多路选择器 VHDL程序
浏览:2
这个程序实现了用VHDL完成多选一的效果 由此程序可以改十路以上的
EDA/PLD中的Verilog HDL的三态门
浏览:190
5星 · 资源好评率100%
三态门有:bufif0 bufif1 notif0 notif1这些门用于对三态驱动器建模。这些门有一个输出、一个数据输入和一个控制输入。三态门实例语句的基本语法如下:tristate_gate[instance_name] (OutputA, InputB,ControlC); 第一个端口OutputA是输出端口,第二个端口InputB是数据输入,ControlC是控制输入。根据控制输入,输出
4选1多路选择器控制8位七段数码管的设计
浏览:174
4选1多路选择器控制8位七段数码管的设计,实现 将 3位的 4选 1多路选择器的 3位输 出接到 八进制数显示模块上,最终实现上,最终实现 利用拨码开关控制选以八进制数 显示在 1个七段数码管上。
Verilog HDL 的跑马灯小程序
浏览:116
5星 · 资源好评率100%
基于Verilog HDL语言的一个跑马灯小程序
Matlab代码verilog-Verilog-HDL:程序-VerilogHDL
浏览:18
Matlab代码verilog Verilog-HDL 该存储库包含有关Verilog HDL的程序 学习Verilog-HDL的资源 Verilog-HDL简介 Verilog是一种硬件描述语言(HDL)。 它是用于描述数字系统(如网络交换机或微处理器或存储器或触发器)的语言。 这意味着,通过使用HDL,我们可以描述任何级别的任何数字硬件。 HDL中描述的设计与技术无关,非常易于设计和调试,并且
RS232发送Verilog HDL程序
浏览:97
3星 · 编辑精心推荐
Verilog HDL写的一段通过RS232发送40位数据的程序
12-Verilog HDL多路选择器设计.7z
浏览:15
Verilog HDL多路选择器设计,Vivado仿真工程
Verilog HDL写的简单倍频程序
浏览:21
4星 · 用户满意度95%
利用小数分频来得到倍频,倍频的倍数可以通过设置乘法器里面的数据得到
收起资源包目录
2-1多路选择器的Verilog HDL程序.zip
(2个子文件)
2-1多路选择器的Verilog HDL程序
muxtwo.v
98B
muxtwo_test.v
301B
共 2 条
1
评论
收藏
内容反馈
立即下载
资源评论
资源反馈
评论星级较低,若资源使用遇到问题可联系上传者,3个工作日内问题未解决可申请退款~
联系上传者
评论
指尖凉
粉丝: 381
资源:
23
私信
上传资源 快速赚钱
我的内容管理
展开
我的资源
快来上传第一个资源
我的收益
登录查看自己的收益
我的积分
登录查看自己的积分
我的C币
登录后查看C币余额
我的收藏
我的下载
下载帮助
前往需求广场,查看用户热搜
最新资源
测试工程师的简单版本OKR
Java二叉树算法实现:节点插入与遍历示例代码
CSDN积分的获取方式
shell脚本:CPU和GPU压力测试工具,使CPU和GPU占用率达到90%
神通数据库单机部署安装
C代码:动态规划算法之01背包问题
C语言代码:直接插入排序
PyCharm下Python调用OpenCV库开发PC端摄像头对在线学习学生的人脸进行检测以及表情识别项目源代码+数据集+模型
sklearn 实现混淆矩阵的计算
消操职考.apk
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功