没有合适的资源?快使用搜索试试~
我知道了~
文库首页
课程资源
专业指导
3-8译码器,4选1多路选择器,Verilog HDL实验,华中科技大学
3-8译码器,4选1多路选择器,Verilog HDL实验,华中科技大学
3-8译码器
多路选择器
VerilogHDL
华中科技大学
5星
· 超过95%的资源
需积分: 44
64 下载量
145 浏览量
2014-03-08
15:04:07
上传
评论
3
收藏
181KB
DOC
举报
温馨提示
立即下载
3-8译码器,4选1多路选择器,Verilog HDL实验,华中科技大学
资源推荐
资源评论
VHDL 4选一选择器
浏览:85
VHDL语言,四选一选择器试验。 entity mux41a is port(a,b:in std_logic; s1,s2,s3,s4:in std_logic; y: out std_logic); end entity mux41a; architecture one of mux41a is signal ab:std_logic_vector(1 downto 0); be
verilog选择器4选一
浏览:129
5星 · 资源好评率100%
verilog选择器4选一,四路输入,一路输出,还有两位做选择,压缩包里有生成的vcd文件以及*.v的源代码。
2-1多路选择器的Verilog HDL程序
浏览:44
2-1多路选择器的Verilog HDL程序
四选一 多路选择器 VHDL程序
浏览:161
这个程序实现了用VHDL完成多选一的效果 由此程序可以改十路以上的
四选一多路选择器vhdl程序代码
浏览:67
四选一多路选择器vhdl程序代码,利用max+plus软件进行仿真,课堂上的代码,亲测成功。
三级16选1数据选择器verilog实现
浏览:143
16选1数据选择器(MUX)分为三级实现的verilog代码,三个文件,可以直接调试仿真。
Verilog VDL编写的四选一数据选择器报告
浏览:101
5星 · 资源好评率100%
Verilog VDL编写的四选一数据选择器报告,第一次使用Quartus 2,步骤详细,里面有附源代码。
华中科技大学汇编实验
浏览:50
华科五个汇编实验,分八次实验上机,整合成五个文件夹
华中科技大学verilog实验报告及源码
浏览:45
华中科技大学Verilog实验报告及完整源代码,可以直接用,全部本人编写。源代码文件名称在报告中有交代,仿真截图和原理图都有。直接用vivado2015.2打开即可。
verilog2-4转3-8译码器
浏览:71
4星 · 用户满意度95%
verilog实现的2线-4线译码器转3线-8线译码器
3-8译码器 verilog代码
浏览:144
verilog实现的3-8译码器,开发环境vivado2016,使用modelsim仿真测试
3-8译码器verilog 代码
浏览:32
4星 · 用户满意度95%
eda入门级设计,本实例是3-8译码器verilog 代码,可综合!
3-8译码器verilog程序
浏览:105
介绍3-8译码器的xilinx ise编译过程及verilog代码
EDA实验报告 4选1数据选择器的实现
浏览:102
5星 · 资源好评率100%
4选1数据选择器的逻辑符号如图1所示,其功能见表1。由表1可知,数据选择器在控制输入信号s1和s2的控制下,使输入数据信号a,b,c,d中的一个被选中传送到输出。s1和s2有4种组合值,由此可用CASE语句和IF语句来实现其功能。
华中科技大学C语言课程设计实验报告及源代码
浏览:85
5星 · 资源好评率100%
华中科技大学计算机学院C语言课程设计实验报告及源代码
eda实验4选1多路选择器
浏览:127
3星 · 编辑精心推荐
EDA实验课上做的四选一多路选择器,希望有帮助。我也是刚学的EDA课程
计算机硬件描述语言(eda)实验报告,包括状态机,计数器,3-8译码器,lp-rom的应用等……
浏览:58
计算机硬件描述语言(eda)实验报告,包括状态机,计数器,3-8译码器,lp-rom的应用等代码
verilog实现3_8译码器
浏览:178
用verilog实现3——8译码器,经测试可用
4-16译码器 verilog语言
浏览:133
5星 · 资源好评率100%
verilog编写的4—16译码器。译码电路
玩转Altera FPGA:3-8译码器实验
浏览:39
5星 · 资源好评率100%
本文主要讲了3-8译码器实验,下面一起来学习一下
2-4译码模块verilog语言
浏览:58
2-4译码模块verilog语言2-4译码模块verilog语言2-4译码模块verilog语言2-4译码模块verilog语言
verilog实现四选一片选功能
浏览:154
仪器设备:逻辑设计与FPGA实验仪一套 装有ISE的PC机一台
4选1数据选择器
浏览:152
使用Labview实现4选1数据选择器,是一个简单的可供学习的labview实例
华中科技大学VERILOG课件
浏览:169
华中科技大学电子科学与技术系课件 主讲老师:刘政林 郑朝霞 1,Verilog HDL硬件描述语言基本语法 2,常见电路如加法器、多路选择器、计数器、D锁存器、D触发器、分频电路、序列检测器的Verilog描述及其对应的电路结构 3,组合逻辑电路、时序逻辑电路对应的Verilog描述及其可综合风格; 4,数字电路测试方法与测试模块的编写; 5,数字电路设计仿真工具、综合工具原理与使用; 6,数字电
评论
收藏
内容反馈
立即下载
资源评论
资源反馈
评论星级较低,若资源使用遇到问题可联系上传者,3个工作日内问题未解决可申请退款~
联系上传者
评论
小久木
2020-07-14
还是比较可以
chenjun5582
2014-09-11
程序文件较详,但具体过程不详
王宸敏
粉丝: 5
资源:
11
私信
上传资源 快速赚钱
我的内容管理
展开
我的资源
快来上传第一个资源
我的收益
登录查看自己的收益
我的积分
登录查看自己的积分
我的C币
登录后查看C币余额
我的收藏
我的下载
下载帮助
前往需求广场,查看用户热搜
最新资源
risc-v -iommu pmu
python中对象与类
Altera快速仿真文档说明
基于集团数据资产管控的数据治理建设方案.pptx
项目管理知识体系讲解.pptx
智能硬件X项目日程计划-V4.0.xlsx
信息化项目项目变更控制流程.xls
使用Python脚本获取教务网站上的个人成绩
Modern Radar for Automotive Applications
全志XR806 OpenHarmony鸿蒙系统固件烧录
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功