<?xml version="1.0" ?>
<LOG_ROOT>
<PROJECT NAME="subp">
</PROJECT>
</LOG_ROOT>
没有合适的资源?快使用搜索试试~ 我知道了~
一位二进制全加/减器设计
共182个文件
cdb:28个
hdb:25个
rpt:18个
需积分: 50 9 下载量 74 浏览量
2008-12-07
09:10:18
上传
评论 1
收藏 248KB RAR 举报
温馨提示
library ieee; use ieee.std_logic_1164.all; entity addt is port (ain,bin,cin :in std_logic; cout,sum :out std_logic); end entity addt; architecture fd1 of addt is component h_adder port(a,b: in std_logic; co,so:out std_logic); end component; component or2a port(a,b:in std_logic; c:out std_logic); end component; signal d,e,f :std_logic; begin u1:h_adder port map(a=>ain,b=>bin,co=>d,so=>e); u2:h_adder port map(a=>e,b=>cin,co=>f,so=>sum); u3:or2a port map(a=>d,b=>f,c=>cout); end architecture fd1;
资源推荐
资源详情
资源评论
收起资源包目录
一位二进制全加/减器设计 (182个子文件)
addp.bdf 7KB
subp.bdf 7KB
h_sub.bdf 6KB
h_adder.bdf 6KB
h_adder.bsf 2KB
subp.cmp.cdb 2KB
addp.cmp.cdb 2KB
addt.cmp.cdb 2KB
addt.rtlv_sg.cdb 1KB
addp.rtlv_sg.cdb 980B
subp.rtlv_sg.cdb 956B
addt.pre_map.cdb 941B
addp.pre_map.cdb 928B
subp.pre_map.cdb 919B
subp.map.cdb 879B
addp.map.cdb 868B
addt.map.cdb 851B
addt.(0).cnf.cdb 767B
addp.sgdiff.cdb 701B
addp.(0).cnf.cdb 699B
subp.sgdiff.cdb 690B
subp.(0).cnf.cdb 688B
addt.sgdiff.cdb 683B
subp.(1).cnf.cdb 576B
addp.(1).cnf.cdb 574B
addt.(1).cnf.cdb 549B
addt.rtlv_sg_swap.cdb 512B
subp.rtlv_sg_swap.cdb 479B
addt.(2).cnf.cdb 479B
addp.rtlv_sg_swap.cdb 478B
subp.eco.cdb 141B
addt.eco.cdb 141B
addp.eco.cdb 141B
subp.db_info 136B
addt.db_info 136B
addp.db_info 136B
subp.cmp0.ddb 2KB
addt.cmp0.ddb 2KB
addp.cmp0.ddb 2KB
subp.done 26B
addt.done 26B
addp.done 26B
subp.eds_overflow 3B
addt.eds_overflow 3B
addp.eds_overflow 3B
addt.fit.eqn 2KB
addp.fit.eqn 2KB
subp.fit.eqn 2KB
addt.map.eqn 1KB
addp.map.eqn 1KB
subp.map.eqn 1KB
addp.sgdiff.hdb 6KB
addp.pre_map.hdb 6KB
addp.rtlv.hdb 6KB
addt.sgdiff.hdb 6KB
addt.pre_map.hdb 5KB
addt.rtlv.hdb 5KB
subp.sgdiff.hdb 5KB
subp.pre_map.hdb 5KB
subp.rtlv.hdb 5KB
subp.cmp.hdb 5KB
addp.cmp.hdb 5KB
addt.cmp.hdb 5KB
addp.map.hdb 5KB
subp.map.hdb 5KB
addt.map.hdb 5KB
addt.sim.hdb 2KB
subp.sim.hdb 2KB
addp.sim.hdb 2KB
addp.(0).cnf.hdb 486B
subp.(0).cnf.hdb 483B
subp.(1).cnf.hdb 455B
addt.(0).cnf.hdb 443B
addp.(1).cnf.hdb 437B
addt.(1).cnf.hdb 336B
addt.(2).cnf.hdb 318B
addt.hier_info 504B
addp.hier_info 466B
subp.hier_info 447B
addt.hif 1KB
addp.hif 458B
subp.hif 450B
cmp_state.ini 3B
cmp_state.ini 3B
subp.pin 25KB
addt.pin 25KB
addp.pin 25KB
subp.pof 207KB
addt.pof 207KB
addp.pof 207KB
subp.psp 0B
addt.psp 0B
addp.psp 0B
subp.tan.qmsg 4KB
addp.tan.qmsg 4KB
addt.tan.qmsg 4KB
addt.map.qmsg 4KB
subp.fit.qmsg 3KB
addt.fit.qmsg 3KB
addp.fit.qmsg 3KB
共 182 条
- 1
- 2
资源评论
array258852258
- 粉丝: 0
- 资源: 3
上传资源 快速赚钱
- 我的内容管理 展开
- 我的资源 快来上传第一个资源
- 我的收益 登录查看自己的收益
- 我的积分 登录查看自己的积分
- 我的C币 登录后查看C币余额
- 我的收藏
- 我的下载
- 下载帮助
最新资源
- 基于javaweb的网上拍卖系统,采用Spring + SpringMvc+Mysql + Hibernate+ JSP技术
- polygon-mumbai
- Chrome代理 switchyOmega
- GVC-全球价值链参与地位指数,基于ICIO表,(Wang等 2017a)计算方法
- 易语言ADS指纹浏览器管理工具
- 易语言奇易模块5.3.6
- cad定制家具平面图工具-(FG)门板覆盖柜体
- asp.net 原生js代码及HTML实现多文件分片上传功能(自定义上传文件大小、文件上传类型)
- whl@pip install pyaudio ERROR: Failed building wheel for pyaudio
- Constantsfd密钥和权限集合.kt
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功