没有合适的资源?快使用搜索试试~
我知道了~
文库首页
课程资源
专业指导
一位全加全减器,数字电路实验,华中科技大学
一位全加全减器,数字电路实验,华中科技大学
一位全加全减
数字电路实验
华中科技大学
需积分: 50
48 下载量
61 浏览量
2014-03-08
15:00:33
上传
评论
3
收藏
174KB
DOC
举报
温馨提示
立即下载
一位全加全减器,数字电路实验,华中科技大学
资源推荐
资源评论
华中科技大学数字电路实验
浏览:58
华中科技大学数字电路实验,包括:第四次实验:无符号数的除法器设计;第五次实验:多功能电子钟系统设计;第六次实验:斐波那契(Fibonacci)数列计算器设计
华中科技大学数电实验
浏览:88
华中科技大学数电实验,包含四次实验,分别使用logisim和verilog开发
1位全减器设计
浏览:119
一个一位全减器的VHDL设计的程序代码 在eda设计的
一位二进制全减器(低频电子线路)
浏览:135
4星 · 用户满意度95%
一位二进制全减器(低频电子线路) 大家一起 学习
一位的全加法器,四位加减法器设计(logisim).zip
浏览:122
在Logisim设计一个用4个FA4构成一个4位的加减法器:可以在引脚输出结果,并显示在LED上。输入采用手动设置引脚
四位全加全减器
浏览:69
四位全加全减器实现 library IEEE;--四位全加全减器(复用加法器) use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all;--要用信号加法,要加此句 entity AM is port( Flag:in std_logic;--1为减法 0为加法 Cin :in std_logic;--进位(借位)输入
用多种方案设计1位全减器电路
浏览:181
数电基础,用多种方案设计1位全减器电路。
logisim画CPU:8位可控加减法电路设计
浏览:154
一位全加器、八位串行可控加减法器。circ文件,下载后直接用logisim打开即可。只实现了一位全加器、八位串行可控加减法器,其他部分没有实现。
华中科技大学计算机学院数字电路实验
浏览:28
包括所有实验及实验六报告
华中科技大学,数字电路实验,常用中规模集成电路VHDL的设计
浏览:79
华中科技大学,数字电路实验,常用中规模集成电路VHDL的设计
华中科技大学计算机学院数字电路与逻辑设计实验电路文件.zip
浏览:177
华中科技大学计算机学院,数字电路与逻辑设计,包含6次实验的内容:二进制加法器,实验室门禁系统,乘法器,除法器,多功能电子钟,斐波那契计算器。请甄别后下载。
Educoder画CPU 第二关一位原码乘法器 华中科技大学实验题
浏览:62
请删除第一行空行! 请删除第一行空行! 请删除第一行空行!
异步时序逻辑电路的设计,数字电路实验,华中科技大学
浏览:20
异步时序逻辑电路的设计,数字电路实验,华中科技大学
一位二进制全加/减器设计
浏览:51
library ieee; use ieee.std_logic_1164.all; entity addt is port (ain,bin,cin :in std_logic; cout,sum :out std_logic); end entity addt; architecture fd1 of addt is component h_adder port(a,b
数字电路华中科技大学的教材课件
浏览:18
5星 · 资源好评率100%
华中科技大学的教材课件 里面的东西很全 很好的东西啊
华中科技大学数字逻辑实验源文件
浏览:51
4星 · 用户满意度95%
华中科技大学实验一、实验名称 系列二进制加法器设计。 二、实验目的 采用传统电路的设计方法,对4种二进制加法器进行设计,并利用工具软件logisim的虚拟仿真功能来检查电路设计是否达到要求。 通过以上实验的设计、仿真、验证3个训练过程使同学们掌握传统逻辑电路的设计、仿真、调试的方法。 实验二 一、实验名称 小型实验室门禁系统设计。 二、实验目的 采用传统电路的设计方法,对一个“设计场景”进行逻辑电
一位十进制加减法器--数字逻辑设计及应用课程设计报告
浏览:178
4星 · 用户满意度95%
一位十进制加减法器--数字逻辑设计及应用课程设计报告 1.0-9十个字符和“+”“-”分别对应一个按键,用于数据输入。 2.用一个开关控制加减法器的开关状态。 3.要求在数码显示管上显示结果。
华中科技大学微机原理实验报告
浏览:43
华中科技大学电信系的同学会需要的,其他学校学这门课程的同学也能做参考
用一位全加器设计一个四位的加法器
浏览:99
5星 · 资源好评率100%
用一位全加器设计一个四位的加法器 用一位全加器设计一个四位的加法器
全减器仿真
浏览:186
用Multisim进行的全减器仿真,文件内为原理图的设计
数电实验报告(共7个)
浏览:23
5星 · 资源好评率100%
数字电路实验报告 实验1 门电路逻辑功能及测试 实验2 组合逻辑电路分析 实验3 38译码器 实验4 数据选择器实验 实验5 触发器实验 实验6 计数器 实验7 电子秒表
verilog 加法器减法器代码
浏览:83
最近做项目用到的,真实可用
32位加减法电路Verilog代码
浏览:10
32位加减法电路的Verilog代码,可以同时实现无符号和有符号的加减法
数字电路-加法器实验-设计一位加法器电路-74LS04、74LS20
浏览:162
5星 · 资源好评率100%
数字电路-加法器实验-设计一位加法器电路-74LS04、74LS20
同步可逆计数器和序列检测器,数字电路实验,华中科技大学
浏览:113
同步可逆计数器和序列检测器,数字电路实验,华中科技大学
华中科技大学数字逻辑实验(共四次)
浏览:138
4星 · 用户满意度95%
华中科技大学数字逻辑实验(共四次)。。。。。。。。。。。
数字电路基础康华光第5班华中科技大学 数字部分
浏览:78
4星 · 用户满意度95%
数字电路基础康华光第5班华中科技大学 数字部分
数字电路与设计PPT(华中科技大学)
浏览:55
5星 · 资源好评率100%
华中科技大学精品教程——数字电路与设计PPT,数字电路是电子电路设计的基础,该PPT将详细的为你提供数电理论的基础
评论
收藏
内容反馈
立即下载
资源评论
资源反馈
评论星级较低,若资源使用遇到问题可联系上传者,3个工作日内问题未解决可申请退款~
联系上传者
评论
王宸敏
粉丝: 5
资源:
11
私信
上传资源 快速赚钱
我的内容管理
展开
我的资源
快来上传第一个资源
我的收益
登录查看自己的收益
我的积分
登录查看自己的积分
我的C币
登录后查看C币余额
我的收藏
我的下载
下载帮助
前往需求广场,查看用户热搜
最新资源
机械电子工程与人工智能的关系辨析.docx
机械自动化设计工程师岗位职责描述.doc
SocketServerHelper.cs
10.多核调度分析.mp4
8e1d2f71-72cd-0404-a483-d7999745420a.url
数据可视化个人简历.pptx
汽车车身基于CATIA软件的参数化建模.doc
RationalDMIS快速使用手册 V6.0版
py游戏源码-Flappy Bird小游戏.zip
图像超分辨率算法的实现
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功