没有合适的资源?快使用搜索试试~ 我知道了~
数字频率计的VHDL设计,用VHDL语言实现了数字频率计功能
资源推荐
资源详情
资源评论
数字频率计的 VHDL 设计
该数字频率计含有 8 个单元电路,下面一一介绍后将给出联合起
来的整机电路。
1. 输入预处理(testin)
源程序:
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
ENTITY TESTin2 IS
PORT
(
TEST : IN STD_LOGIC;
S2 : IN STD_LOGIC;
CP : out STD_LOGIC
);
END TESTin2;
ARCHITECTURE TESTIN_architecture OF TESTin2 IS
signal temp:std_logic_vector(3 downto 0):="0000";
signal cnt:std_logic;
BEGIN
process(s2,test)
begin
if s2='0' THEN
cnt<=TEST;
ELSIF
TEST'EVENT AND TEST='1' THEN
IF temp="1001" THEN
temp<="0000";
cnt<='1';
else cnt<='0';
temp<=temp+1;
end if;
END IF;
END PROCESS;
cp<=cnt;
END TESTIN_architecture;
仿真结果
可见当 s2=0 时输出 cp=test,s2=1 时输出 cp 为 test 的十分频,实现了输入预处理的要求。
2. 闸门信号控制电路(gatesig)
源程序:
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
ENTITY GATESIG IS
PORT
(
SEC : IN STD_LOGIC;
S1 : IN STD_LOGIC;
S2 : IN STD_LOGIC;
GOUT : OUT STD_LOGIC;
CLEAR : OUT STD_LOGIC;
LOCK : OUT STD_LOGIC
);
END GATESIG;
ARCHITECTURE GATESIG_architecture OF GATESIG IS
signal cnt : std_logic_vector(3 downto 0):="0000";
signal cnt2 : std_logic_vector(3 downto 0):="0000";
signal sec1 : std_logic:='1';
signal clear1 : std_logic;
signal lock1 : std_logic;
signal s21 : std_logic_vector(1 downto 0);
BEGIN
s21(1)<=S2;
s21(0)<=S1;
process(SEC,s21)
begin
if rising_edge(SEC) then
if s21="01" then
if cnt>"1100" then
cnt<="0000";
剩余12页未读,继续阅读
资源评论
allizwell
- 粉丝: 0
- 资源: 1
上传资源 快速赚钱
- 我的内容管理 展开
- 我的资源 快来上传第一个资源
- 我的收益 登录查看自己的收益
- 我的积分 登录查看自己的积分
- 我的C币 登录后查看C币余额
- 我的收藏
- 我的下载
- 下载帮助
最新资源
- 基于微信小程序的点餐系统源码(高分项目代码)
- YOLOv3 的最小 PyTorch 实现.zip
- python 字符解析 单词表 排版 txt转excel 自动分列
- C++中的`std::move`与`std::forward`:完美转发与移动语义的精髓
- yolov3 的 tensorflow 实现.zip
- python-android-dist-v0.7.1.tar.gz
- YOLOv3 的 Keras 实现(Tensorflow 后端).zip
- 深入解析YOLO算法:边界框预测与代码实现
- YoloV3 在 Tensorflow 2.0 中的实现.zip
- C#winform 一个简单的图形配置工具.zip,插入电子元件,虚线方框等等
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功