用vscode玩verilog实例一--比较器代码包(带tb文件,可编译仿真看波形)

preview
共4个文件
v:2个
vpp:1个
vcd:1个
star 5星 · 超过95%的资源 需积分: 5 5 下载量 44 浏览量 2022-06-05 16:11:55 上传 评论 收藏 3KB ZIP 举报
海里的鱼2022
  • 粉丝: 8289
  • 资源: 39
上传资源 快速赚钱
voice
center-task 前往需求广场,查看用户热搜