没有合适的资源?快使用搜索试试~
我知道了~
文库首页
开发技术
其它
十进制计数器
十进制计数器
需积分: 41
16 下载量
102 浏览量
2015-10-30
21:49:45
上传
评论
3
收藏
375B
V
举报
温馨提示
立即下载
使用quartus ii 软件编写的十进制计数器,所用语言为Verilog
资源推荐
资源评论
基于VHDL和QuartusII的十进制可逆计数器
浏览:75
5星 · 资源好评率100%
基于VHDL和QuartusII的十进制可逆计数器
实验四VHDL设计有时钟使能的两位十进制计数器[整理].pdf
浏览:55
5星 · 资源好评率100%
实验四VHDL设计有时钟使能的两位十进制计数器[整理].pdf
10进制计数器
浏览:146
5星 · 资源好评率100%
10进制计数器,verilog编的
10进制计数器_计数器_
浏览:108
一个4位宽的十进制计数器,用verilog语言实现
verilog 十进制计数器
浏览:194
5星 · 资源好评率100%
提供verilog设计十进制计数器源代码及测试代码。
十进制加减计数器
浏览:109
十进制加减计数器,基于单片机的设计,手动和自动两种
10进制计数器VHDL代码
浏览:163
10进制计数器VHDL代码 Library IEEE; Use IEEE.STD_LOGIC_1164.ALL; Use IEEE.STD_LOGIC_UNSIGNED.ALL; entity counter_10 is Port( reset : in std_logic; clock : in std_logic; num_out : out std_logic_vector(3 down
quartus18.0编译仿真的十进制计数器
浏览:33
5星 · 资源好评率100%
利用quartus18.0软件编译仿真的十进制计数器,含测试文件,供学习电子设计自动化(eda)的新人参考
VHDL十进制计数器的源代码
浏览:57
5星 · 资源好评率100%
十进制计数器 硬件描述语言 VHDL Quartus 2
六十进制计数器(有代码)
浏览:198
基于FPGA硬件开发板,利用QuartusII软件通过VHDL和原理图混合输入的方式实现60进制计数器;有代码
计数器,一个十进制的计数器
浏览:89
用vhdl实现的一个10进制计数,用VHDL 实现的
十进制计数器.exe
浏览:175
十进制计数器.exe
十进制计数器(50M)
浏览:83
5星 · 资源好评率100%
支持系统时钟为50Mhz的计数器,可以将十进制改为任意进制的计数器,也可作分频器使用。
六位十进制计数器
浏览:145
这是基于51单片机的,加入8255扩展芯片的六位十进制计数器。其中包含各个部分元器件的选用、原理及使用方法。还有汇编和C的编程代码。
附件-十进制计数器
浏览:104
附件,数字电子技术学习,利用Digital Works进行十进制计数器的实现
十进制计数器VHDL
浏览:157
通过VHDL,实现10位带使能计数器。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CNT10 IS PORT(CLK_IN: IN STD_LOGIC; COUT228 : OUT STD_LOGIC); --计数进位输出 END CNT
FPGA实验设计一个十进制计数器
浏览:182
MModelSim是工业界最优秀的语言仿真器,它提供最友好的调试环境,是作FPGA、ASIC设计的RTL级和门级电路仿真的首选。用它设计一个十进制计数器。
verilog十进制计数器,带进位,仿真无误
浏览:192
4星 · 用户满意度95%
本人亲自仿真无误的verilog十进制计数器,程序有中文说明容易读懂,可直接用MOdelsim打开。十进制带进位加计数器
FPGA十进制计数器的设计
浏览:138
FPGA实验,十进制计数器的设置。通过按键输出信号,采集脉冲信号后计数,并通过七段数码管显示
数字电路十进制计数器实验报告含代码感想
浏览:41
5星 · 资源好评率100%
1. 实验目的: (1) 学习同步十进制计数器的原理和设计方法,理解它与二进制计数器的区别 (2) 掌握灵活运用Verilog HDL语言进行各种描述与建模的技巧和方法 2. 实验要求: (1) 使用合适的方法来编程实现规定特性的十进制同步减法计数器 (2) 课前任务:在Xilink ISE上完成创建工程、编辑程序源代码、编译、综合、仿真、验证,确保逻辑正确性. (3) 撰写实验报告:含程序源代码
vhdl实验二(异步触发十进制加法计数器)
浏览:64
5星 · 资源好评率100%
vhdl实验二(异步触发十进制加法计数器),有源程序,仿真图,eda2000连接图。
verilog 十进制计数Quartus 9.0
浏览:93
verilog ;十进制,计数器,QuartusII,实验
用VHDL设计100进制加减计数器
浏览:201
5星 · 资源好评率100%
这是用VHDL设计的100进制的加减计数器
eda 8位10进制频率计数器
浏览:119
5星 · 资源好评率100%
基于quartus II 的八位10进制计数器,共一个顶层文件和两个底层文件,有图形设计法和代码设计法,解压后直接打开工程文件即可。
5位十进制计数器
浏览:134
利用定时器的计数功能计数,根据要求满十进一,以此类推类推设计了五位十进制计数器
十进制计数器vhdl
浏览:67
查看文章 VHDL十进制计数器2009-07-14 16:28library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity count10 is port (clk:in std_logic; f:buffe
verilog4位十进制计数器
浏览:147
5星 · 资源好评率100%
能设初始值,能实现加1,加2操作,并能在数码管上显示
十进制
浏览:109
十进制
评论
收藏
内容反馈
立即下载
资源评论
资源反馈
评论星级较低,若资源使用遇到问题可联系上传者,3个工作日内问题未解决可申请退款~
联系上传者
评论
xiayeyijing
粉丝: 0
资源:
2
私信
上传资源 快速赚钱
我的内容管理
展开
我的资源
快来上传第一个资源
我的收益
登录查看自己的收益
我的积分
登录查看自己的积分
我的C币
登录后查看C币余额
我的收藏
我的下载
下载帮助
前往需求广场,查看用户热搜
最新资源
电赛资源dd.docx
计算机二级资源.docx
第二次作业.ipynb
SQL资源d.docx
JavaScript资源.docx
PHP资源d.docx
C#资源dd.docx
Android Dalvik虚拟机JNI方法的注册过程分析
Java实现实时语音转写(科大讯飞API)+mqtt推送解析后消息
yml文件关键词自动可视化替换.zip
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功