没有合适的资源?快使用搜索试试~
我知道了~
文库首页
课程资源
嵌入式
vhdl实验二(异步触发十进制加法计数器)
vhdl实验二(异步触发十进制加法计数器)
共71个文件
cdb:11个
hdb:10个
qmsg:6个
十进制加法计数器
5星
· 超过95%的资源
需积分: 31
80 下载量
127 浏览量
2008-10-25
08:45:00
上传
评论
9
收藏
307KB
RAR
举报
温馨提示
立即下载
vhdl实验二(异步触发十进制加法计数器),有源程序,仿真图,eda2000连接图。
资源详情
资源评论
资源推荐
收起资源包目录
2(十进制加法计数器).rar
(71个子文件)
实验2(十进制加法计数器)
cnt10.map.rpt
16KB
cnt10.qws
3KB
cnt10.done
26B
cnt10.fit.rpt
29KB
cnt10.flow.rpt
4KB
cnt10.map.eqn
2KB
cnt10.sof
57KB
cnt10.tan.rpt
26KB
cmp_state.ini
2B
cnt10.map.summary
346B
cnt10.pof
207KB
cnt10.fit.eqn
3KB
db
cnt10.fnsim.hdb
9KB
cnt10.rtlv.hdb
5KB
cnt10.rtlv_sg.cdb
1KB
cnt10.cmp.hdb
6KB
cnt10.(0).cnf.cdb
1KB
cnt10.cmp0.ddb
1KB
cnt10.syn_hier_info
0B
cnt10.(1).cnf.hdb
586B
cnt10.sim.vwf
6KB
cnt10_cmp.qrpt
0B
cnt10.cmp.tdb
3KB
cnt10.rtlv_sg_swap.cdb
158B
cnt10.db_info
136B
cnt10.map.cdb
1KB
cnt10.sim.qmsg
1KB
cnt10.sgdiff.cdb
1KB
cnt10.sgdiff.hdb
8KB
cnt10.rpp.qmsg
1KB
cnt10.cmp.cdb
3KB
cnt10.map.qmsg
3KB
cnt10.sim.rdb
2KB
cnt10.eco.cdb
142B
cnt10.pre_map.cdb
1KB
cnt10.sgate.rvd
1KB
cnt10.sim.hdb
2KB
cnt10.asm.qmsg
1KB
cnt10.sld_design_entry.sci
134B
cnt10.pre_map.hdb
5KB
cnt10.map.hdb
6KB
cnt10.eds_overflow
3B
cnt10.(0).cnf.hdb
495B
cnt10.(1).cnf.cdb
1KB
cnt10.cmp.ddb
147B
cnt10.cmp.rdb
11KB
cnt10.(2).cnf.hdb
761B
cnt10.psp
0B
cnt10.(2).cnf.cdb
2KB
cnt10.hif
4KB
cnt10.fnsim.cdb
2KB
cnt10.tan.qmsg
36KB
cnt10.hier_info
469B
cnt10.sld_design_entry_dsc.sci
134B
cnt10_sim.qrpt
0B
add_sub_klh.tdf
3KB
cnt10.fit.qmsg
3KB
cnt10.vhd
582B
cnt10.qpf
2KB
cnt10.simT.vwf
6KB
cnt10.pin
19KB
报告截图.doc
245KB
cnt10.qsf
3KB
cnt10.tan.summary
2KB
cnt10.asm.rpt
9KB
cnt10.sim.rpt
4KB
实验二.MOD
775B
cnt10.vwf
6KB
cnt10.fit.summary
396B
cnt10.simF.vwf
6KB
cnt10.cdf
282B
共 71 条
1
功能仿真
时序仿真
R
TL
图
管脚绑定
评论5
查看其他4条评论
去评论
yww_wwy
2014-02-10
报告完整,很有用。
用T'触发器构成的异步二进制加法计数器实验电路multisim源文件
浏览:169
5星 · 资源好评率100%
用T'触发器构成的异步二进制加法计数器实验电路multisim源文件,multisim10及以上版本可以正常打开仿真,是教材上的电路,可以直接仿真,方便大家学习。
实验四VHDL设计有时钟使能的两位十进制计数器[整理].pdf
浏览:159
5星 · 资源好评率100%
实验四VHDL设计有时钟使能的两位十进制计数器[整理].pdf
实验五 四位二进制加法计数器VHDL设计.docx
浏览:74
5星 · 资源好评率100%
实验五 四位二进制加法计数器VHDL设计.docx实验五 四位二进制加法计数器VHDL设计.docx实验五 四位二进制加法计数器VHDL设计.docx实验五 四位二进制加法计数器VHDL设计.docx实验五 四位二进制加法计数器VHDL设计.docx实验五 四位二进制加法计数器VHDL设计.docx实验五 四位二进制加法计数器VHDL设计.docx实验五 四位二进制加法计数器VHDL设计.docx
实验五 四位二进制加法计数器VHDL设计.pdf
浏览:168
5星 · 资源好评率100%
实验五 四位二进制加法计数器VHDL设计.pdf实验五 四位二进制加法计数器VHDL设计.pdf实验五 四位二进制加法计数器VHDL设计.pdf实验五 四位二进制加法计数器VHDL设计.pdf实验五 四位二进制加法计数器VHDL设计.pdf实验五 四位二进制加法计数器VHDL设计.pdf实验五 四位二进制加法计数器VHDL设计.pdf实验五 四位二进制加法计数器VHDL设计.pdf实验五 四位二进
VHDL 十进制加法器
浏览:120
3星 · 编辑精心推荐
带进位的十进制加法器,VHDL语言编写,可直接应用,可进行仿真。
异步八进制加法计数器(上升沿触发)(D).zip
浏览:53
用两种思路实现了异步八进制加法计数器的功能. 第一种思路用观察时序图的方式求出时钟方程, 再根据时钟取值修改状态表, 之后再求出状态激励方程(D触发器). 第二种思路师从课本, 虽然最终能实现功能, 但求解的时钟方程显然没有很强的说服力. 建议读者采用第一种方式进行分析与设计.
12进制计数器的VHDL程序设计
浏览:53
5星 · 资源好评率100%
12进制计数器的VHDL程序设计
8位二进制计数器vhdl源程序及原理图
浏览:29
5星 · 资源好评率100%
8位二进制计数器vhdl源程序及原理图,波形,源代码。
异步十进制加法计数器(上升沿触发)(D)(设计方案2).zip
浏览:145
本电路对于时钟方程的选择与之前不同, 但最终都实现了十进制加法计数的功能. 建议读者对比这两种设计思路进行分析, 以掌握更灵活的设计方法.
异步十进制加法计数器(上升沿触发)(D)(设计方案1).zip
浏览:76
5星 · 资源好评率100%
本电路实现了异步十进制加法计数器的功能. 该设计思路用观察时序图的方式求出时钟方程, 再根据时钟取值修改状态表, 之后再求出状态激励方程(D触发器).
实验二:设计含异步清0和同步时钟使能的十进制计数器.pdf
浏览:33
实验二:设计含异步清0和同步时钟使能的十进制计数器.pdf实验二:设计含异步清0和同步时钟使能的十进制计数器.pdf
EDA实验二 含异步清零和同步使能的十进制加减法计数器.docx
浏览:44
5星 · 资源好评率100%
EDA实验二 含异步清零和同步使能的十进制加减法计数器.docxEDA实验二 含异步清零和同步使能的十进制加减法计数器.docx
实验二异步复位十进制可逆计数器.docx
浏览:180
5星 · 资源好评率100%
实验二异步复位十进制可逆计数器.docx实验二异步复位十进制可逆计数器.docx
VHDL之异步复位计数器
浏览:109
使用VHDL编写的,能够异步复位,上升沿计数的计数器。
十进制计数器VHDL
浏览:172
通过VHDL,实现10位带使能计数器。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CNT10 IS PORT(CLK_IN: IN STD_LOGIC; COUT228 : OUT STD_LOGIC); --计数进位输出 END CNT
10进制计数器VHDL代码
浏览:97
10进制计数器VHDL代码 Library IEEE; Use IEEE.STD_LOGIC_1164.ALL; Use IEEE.STD_LOGIC_UNSIGNED.ALL; entity counter_10 is Port( reset : in std_logic; clock : in std_logic; num_out : out std_logic_vector(3 down
10进制计数器vhdl程序设计报告
浏览:102
5星 · 资源好评率100%
10进制计数器 vhdl程序 quartus仿真 带进位复位功能
基于VHDL的4位二进制乘法器
浏览:13
4星 · 用户满意度95%
用VHDL语言做的4位二进制乘法器,编写用的是QuartusII软件。调用了寄存器,加法器,计数器。外加状态机,用原理图实现顶层的编写。
FPGA实验设计一个十进制计数器
浏览:90
MModelSim是工业界最优秀的语言仿真器,它提供最友好的调试环境,是作FPGA、ASIC设计的RTL级和门级电路仿真的首选。用它设计一个十进制计数器。
2位2进制同步加法计数器
浏览:35
5星 · 资源好评率100%
2位2进制同步加法计数器 数字逻辑实验 2位2进制同步加法计数器 数字逻辑实验
同步八进制加法计数器.ms7
浏览:201
本电路实现了同步八进制加法计数器的功能: 电路能准确地按照八进制加法计数的规律进行计数. 读者应深刻理解本例的分析和设计过程, 以为日后设计更为复杂的同步时序逻辑电路打下基础.
在MAX+PLUS II中,使用图形编辑器设计一个3位的十进制加法计数器,使用VHDL语言设计一个D触发器
浏览:196
5星 · 资源好评率100%
1.在图形编辑器中设计一个3位的十进制加法计数器,以xxxcnt3.gdf命名保存(‘xxx’为您的姓名拼音首字母)。器件设定为EPM7128LC84-6。要求能够从0计数到999。从999归零时产生一个高电平的报警信号。进行波形仿真,验证功能正确。分析此电路的最高计数频率。 2.修改这个计数器的归零值,使其计数到119就归零,增加异步清零功能,加法计数/减法计数控制功能。 3.在文本编辑器中使
十二进制同步计数器
浏览:116
5星 · 资源好评率100%
1 十二进制同步计数器, VHDL FPGA实验设计报告 内部有代码,正常运行。
实验二含异步清0和同步使能的4位加法计数器
浏览:108
我们用的教材是 清华大学出版社 潘松编著
异步十四进制加法计数器(上升沿触发)(D).zip
浏览:194
本电路实现了异步十四进制加法计数器的功能. 该设计思路用观察时序图的方式求出时钟方程, 再根据时钟取值修改状态表, 之后再求出状态激励方程(D触发器).
EDA实验报告 异步清除十进制加法计数器的设计
浏览:112
4星 · 用户满意度95%
异步清除是指复位信号有效时,直接将计数器的状态清零。在本设计中,复位信号为clr,低电平有效;时钟信号时clk,上升沿是有效边沿。在clr清除信号无效的的前提下,当clk的上升沿到来时,如果计数器原态是9(“1001”),计数器回到0(“0000”)态,否则计数器的状态将加1。
异步十二进制加法计数器(上升沿触发)(D).zip
浏览:58
本电路实现了异步十二进制加法计数器的功能. 该设计思路用观察时序图的方式求出时钟方程, 再根据时钟取值修改状态表, 之后再求出状态激励方程(D触发器).
评论
收藏
内容反馈
立即下载
zhh0455
粉丝: 1
资源:
4
私信
上传资源 快速赚钱
我的内容管理
展开
我的资源
快来上传第一个资源
我的收益
登录查看自己的收益
我的积分
登录查看自己的积分
我的C币
登录后查看C币余额
我的收藏
我的下载
下载帮助
前往需求广场,查看用户热搜
最新资源
BaseRecyclerViewAdapterHelper4.0使用Java重写
山东大学操作系统实验demo
TiggerRamDiskV6.0-Mac.zip
数据库实验报告三.doc
数据库应用程序设计作业.doc
施工图审查信息化管理平台整体解决方案.doc
visualize1.py
物联网分布式系统应用.pptx
物联网在医疗健康中的应用-第1篇概述.pptx
11_4.ide
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功
评论5