没有合适的资源?快使用搜索试试~
我知道了~
文库首页
课程资源
专业指导
4-16译码器引脚连接图
4-16译码器引脚连接图
4-16
74ls138
5星
· 超过95%的资源
需积分: 49
44 下载量
193 浏览量
2008-12-02
18:24:58
上传
评论
1
收藏
30KB
JPG
举报
温馨提示
立即下载
由两个74ls138组成的4-16译码器
资源推荐
资源评论
4-16译码器程序
浏览:172
5星 · 资源好评率100%
vhdl语言描述的,4线-16线译码器的完整程序
4-16译码器+电机驱动
浏览:113
4-16译码器+电机驱动,用于电机驱动,以及译码器驱动,4-16译码器+电机驱动,用于电机驱动,以及译码器驱动,4-16译码器+电机驱动,用于电机驱动,以及译码器驱动,4-16译码器+电机驱动,用于电机驱动,以及译码器驱动,4-16译码器+电机驱动,用于电机驱动,以及译码器驱动,
两片74LS138接成4线16线译码器multisim源文件
浏览:200
5星 · 资源好评率100%
两片74LS138接成4线16线译码器multisim源文件,multisim10及以上版本可以正常打开仿真,是教材上的电路,可以直接仿真,方便大家学习。
Decoder_两片74138_4-16译码器_3-8译码器_
浏览:194
5星 · 资源好评率100%
用两片74138 3-8线译码器实现4-16线译码器样例
74138扩展4-16线译码器.ms7
浏览:21
5星 · 资源好评率100%
本电路用两片74LS138扩展为4-16线译码器, 并用同步十六进制加法计数器的4个输出作为4位译码输入, 对电路的设计进行了验证. 对于尚未掌握同步时序逻辑电路设计方法或芯片扩展方法的朋友们来说, 极具参考价值.
74hc154芯片 4-16译码器(pdf)
浏览:91
发现本网站没有关于74HC154的芯片资料,特上传,有需要的请下载。
8片74LS138转6-64译码器
浏览:23
5星 · 资源好评率100%
DSN文件,用Proteus打开。我是用Proteus 7画的。
译码器c语言
浏览:106
//定义译码器输入端A 在 P2.2 管脚上 sbit HC138B = P2^3; //定义译码器输入端B 在 P2.3 管脚上 sbit HC138C = P2^4; //定义译码器输入端C 在 P2.4 管脚上 /************************************************* * ...
接口实验芯片开发74LS138,寄存器
浏览:43
华科接口实验第二次,芯片开发。...芯片开发完成3-8译码器和寄存器,加上自己的思考,结合3-8译码器和之前的常规实验,竞赛抢答器完成的一个东东。做之前蛮恐惧,做完后发现蛮简单。报告中有程序代码和详细的实验步骤。
CD4511显示译码
浏览:125
4星 · 用户满意度95%
CD4511可驱动共阴数码管,CD4511是BCD锁存/7段译码器/驱动器,常用的显示译码器件,CD4511引脚功能: 具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS电路能提供较大的拉电流。可直接驱动LED显示器。
proteus单片机.txt
浏览:63
5星 · 资源好评率100%
元件名称 中文名 说明 7407 驱动门 1N914 二极管 74Ls00 与非门 74LS04 非门 74LS08 与门 74LS390 TTL 双十进制计数器 7SEG 4针BCD-LED 输出从0-9 对应于4根线的BCD码 7SEG 3-8译码器电路BCD-7SEG转换电路 ...
四人表决器器电路设计
浏览:16
5星 · 资源好评率100%
此为Quartus软件环境下设计四人表决电路的电路逻辑图和仿真波形图
4—7 10进制译码器
浏览:33
这个是一个我自己编写的最简单的译码器,如有需要请下载,谢谢
2-4译码器.ms10
浏览:173
5星 · 资源好评率100%
利用Multisim10设置一个2-4译码器,只用非门和三输入与门;利用Multisim10设置一个2-4译码器,只用非门和三输入与门;利用Multisim10设置一个2-4译码器,只用非门和三输入与门
4-16译码器 verilog语言
浏览:11
5星 · 资源好评率100%
verilog编写的4—16译码器。译码电路
DXP集成库指南-ad系列
浏览:140
DXP集成库指南.很好的资源,ad系列,DXP集成库指南.很好的资源,ad系列
protues仿真元件库常用原件名
浏览:158
5星 · 资源好评率100%
7SEG 3-8译码器电路BCD-7SEG转换电路 ALTERNATOR 交流发电机 AMMETER-MILLI mA安培计 AND 与门 BATTERY 电池/电池组 BUS 总线 CAP 电容 CAPACITOR 电容器 CLOCK 时钟信号源 CRYSTAL 晶振 D-FLIPFLOP D...
例说51单片机C语言第三版练习程序
浏览:65
5星 · 资源好评率100%
5-3-1 使用bcd译码器 133 5-3-2 直接驱动 135 5-3-3 使用扫描译码器 136 5-3-4 闪烁 137 5-3-5 交替显示 138 5-3-6 飞入 139 5-3-7 跑马灯 141 5-4 实例演练 142 5-4-1 4位数七段led数码管 142 5-...
课程设计数字电子闹钟.doc
浏览:199
5星 · 资源好评率100%
4 ) 还应控制起闹时间的长短,用74LS123构成单稳态触发器 起闹部分框图 控制起闹时间长短:T=0.28RC(1+0.7k/R) 采用2片74LS138,将控制十位的3-8译码器的A2端作为控制个位3- 8译码器的最高位,这样就可以满足小时...
AltiumDesigner常用库文件
浏览:58
74HC154 4-16译码器 74HC4052 双通道模拟开关 74HC595 移位寄存器 74HVC32M 双输入或门 74LS32M 双输入或门 74VHC04M 非门 ACS712 电流检测芯片 ACT45B 共模电感 AD5235 数控电阻 AD8251 可控增益运放 AD8607AR 双...
altium designer常用元件集成库(IntLib)文件
浏览:79
5星 · 资源好评率100%
74HC154 4-16译码器 74HC595 移位寄存器 74HC4052 双通道模拟开关 74HVC32M 双输入或门 74LS32M 双输入或门 74VHC04M 非门 ACS712 电流检测芯片 ACT45B 共模电感 AD5235 数控电阻 AD8251 可控增益运放 AD8607AR 双...
4-16译码器VHDL语言设计
浏览:178
5星 · 资源好评率100%
4-16译码器VHDL语言设计, library ieee; use ieee.std_logic_1164.all; entity cjg4_16 is port(DATA:in std_logic_vector(3 downto 0); EN :in std_logic; Y:out std_logic_vector(15 downto 0)); end ent
74LS154译码器
浏览:187
一个关于74LS154译码器的PDF资料,中文资料,有助于需要的下载
EDA实验报告 七段数码显示译码器的设计
浏览:117
5星 · 资源好评率100%
7段数码管是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制的译码显示,最方便的方法就是利用译码程序在FPGA/CPLD中来实现。
采用2个4选1数据选择器(74LS153)构成1个8选1数据选择器,要求自己设计电路,画出逻辑电路接线图,连接调试,并测试真值表,验证设计电路是否符合要求
浏览:57
5星 · 资源好评率100%
数据选择器的功能及应用,采用2个4选1数据选择器(74LS153)构成1个8选1数据选择器,要求自己设计电路,画出逻辑电路接线图,连接调试,并测试真值表,验证设计电路是否符合要求
4-16译码器vhedl源程序
浏览:102
用quartus7.2编写的4-16译码器的vhdl工程文件
实验题一实验报告3
浏览:125
1.设计译码器的端口、内部逻辑程序 - 2 - 2.观察 FPGA 电路板,将输入输出端口进行引脚绑定 - 3 - 3.电路连接示意图 - 4 - 4.实验结果
实验题二实验报告3
浏览:120
1.设计译码器的端口、内部逻辑程序 - 2 - 2.观察 FPGA 电路板,将输入输出端口进行引脚绑定 - 3 - 3.电路连接示意图 - 4 - 4.实验结果
实验题三实验报告3
浏览:106
1.设计译码器的端口、内部逻辑程序 - 2 - 2.观察 FPGA 电路板,将输入输出端口进行引脚绑定 - 3 - 3.电路连接示意图 - 4 - 4.实验结果
评论
收藏
内容反馈
立即下载
资源评论
资源反馈
评论星级较低,若资源使用遇到问题可联系上传者,3个工作日内问题未解决可申请退款~
联系上传者
评论
复活de四叶草
2013-12-18
很好!! 就是这样连接的!!
leaver89
2012-11-25
这个程序不是很清楚,不过思路很好。
ioio123ok
2015-05-24
找到了,好嗨皮啊,连上了,可用
willyou1986
粉丝: 1
资源:
19
私信
上传资源 快速赚钱
我的内容管理
展开
我的资源
快来上传第一个资源
我的收益
登录查看自己的收益
我的积分
登录查看自己的积分
我的C币
登录后查看C币余额
我的收藏
我的下载
下载帮助
前往需求广场,查看用户热搜
最新资源
企业网站改版方案.doc
传统企业如何应对互联网时代(职场经验).doc
光纤内脉冲信号传输仿真(包含matlab程序).doc
全国2013年1月自学考试软件开发工具试题.doc
BC26-NB模块发送传感器数据.zip
BC26-串口1-RS485传感器透传.zip
全国cad大赛试题.doc
全国计算机等级考试二级C语言笔试试题及答案(4-选择题).doc
医用多远统计学-logistic回归.pdf
大一linux常用命令大全笔记
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功