没有合适的资源?快使用搜索试试~
我知道了~
文库首页
课程资源
讲义
74157芯片同相输出四 2选1数据选择器
74157芯片同相输出四 2选1数据选择器
需积分: 50
22 下载量
61 浏览量
2018-06-09
15:23:48
上传
评论
1
收藏
79KB
PDF
举报
温馨提示
立即下载
同相输出四 2选1数据选择器 , 。
资源推荐
资源评论
数据选择器
浏览:151
(1) 了解EDA技术的发展及应用 (2) 掌握VHDL语言的基础知识,熟悉在数字电路系统设计中VHDL程序设计 (3) 学习MAX+PLUSⅡ软件的应用方法 (4) 应用EDA技术的设计方法完成4选1数据选择器的设计(采用原理图和文本法两种方法实现),并在MAX+PLUSⅡ上仿真
基于可编程逻辑的二选一数据选择器
浏览:137
EDA 可编程逻辑 二选一数据选择器
采用2个4选1数据选择器(74LS153)构成1个8选1数据选择器,要求自己设计电路,画出逻辑电路接线图,连接调试,并测试真值表,验证设计电路是否符合要求
浏览:134
5星 · 资源好评率100%
数据选择器的功能及应用,采用2个4选1数据选择器(74LS153)构成1个8选1数据选择器,要求自己设计电路,画出逻辑电路接线图,连接调试,并测试真值表,验证设计电路是否符合要求
四选一数据选择器和基本触发器的设计
浏览:11
5星 · 资源好评率100%
组成原理 课程设计报告 四选一数据选择器和基本触发器的设计
二选一数据选择器 VHDL
浏览:108
EDA实验工程代码,自己做实验时保留下来的代码文件,简单的工程。
4选一_out_4选一数据选择器_
浏览:56
5星 · 资源好评率100%
VHDL实现4选1数据选择器entity mux41a is port(ab:in std_logic; s1s2s3s4:in std_logic; y: out std_logic);end entity mux41a;
2选1数据选择器_verilog_
浏览:55
用modelsim实现2选1数据选择器的功能
2选一数据选择器源代码(verilog HDL)
浏览:26
4星 · 用户满意度95%
2选一数据选择器源代码(verilog HDL)
Broadchip推出首款四路单刀双掷模拟开关产品BCT4699
浏览:10
Broadchip(广芯电子(上海)科技有限公司)推出了该公司首款四路单刀双掷模拟开关产品BCT4699,用于便携设备的音频、电源|稳压器、通讯信号的切换,可以与Fairchild FSA2467、Pericom PI3A412完全兼容。最低的导通电阻和漏电流非常好地满足了便携电子产品低功耗需求,并具有很好的电阻平坦度和快速的切换速度,广泛应用于手机、GPS及其它消费类ic37。 BCT4
输血一受血规则的4输入1输出数据选择器电路
浏览:70
利用一个4选1数据选择器和最少数量的与非门,设计一个符合输血一受血规则的4输入1输出电路。检测所设计电路的逻辑功能。 人类有四种基本血型——A、B、AB和O型。输血者与受血者的血型必须符合下述规划: O型血可以输给任意血型的人,但O血型的人只能接受O型血;AB型血只能输给AB血型的人,但AB血型的人能接受所有血型的血;A型的人,而A血型的人能接受A型血和O型血;B型血型血和O型血。 实现器
使用两个74LS153器件来实现8选1
浏览:69
使用两个74LS153器件来实现8选1,使用两个74LS153的4选1的来实现的
数据选择器及其应用
浏览:31
数字电路数据选择器实验报告,1.了解组合逻辑电路的设计步骤、分析方法和测试方法; 2、掌握数据选择器的工作原理与逻辑功能; 3、掌握双四选一数据选择器74LS153的应用。
eda四选一多路选择器的设计
浏览:183
5星 · 资源好评率100%
多路选择器(又称为数据选择器) ①功能 在选择变量控制下,从多路输入数据中选中某一路数据送至输出端。对于一个具有2n个输入和1个输出的多路选择器,有n个选择变量。 ②典型芯片 典型中规模多路选择器有双4路数据选择器74153,其引脚排列图和逻辑符号如图1(a)、(b)所示。 数据选择器74153芯片含两个4路数据选择器,每个选择器接收4路数据输入,产生一个输出,两个4路数据选择器共用两个
Verilog VDL编写的四选一数据选择器报告
浏览:106
5星 · 资源好评率100%
Verilog VDL编写的四选一数据选择器报告,第一次使用Quartus 2,步骤详细,里面有附源代码。
EDA实验报告 4选1数据选择器的实现
浏览:19
5星 · 资源好评率100%
4选1数据选择器的逻辑符号如图1所示,其功能见表1。由表1可知,数据选择器在控制输入信号s1和s2的控制下,使输入数据信号a,b,c,d中的一个被选中传送到输出。s1和s2有4种组合值,由此可用CASE语句和IF语句来实现其功能。
EDA二选一数据选择器
浏览:73
EDA二选一数据选择器,vhdl语言编写,用于eda课程设计,可以下载到可编程逻辑器件上进行操作
4选1数据选择器
浏览:79
使用Labview实现4选1数据选择器,是一个简单的可供学习的labview实例
74x138和74x151的VerilogHDL分析及组合逻辑函数实现
浏览:145
#数字逻辑电路设计(组合逻辑电路)(Second .UESTC) 74x138和74x151的VerilogHDL分析及组合逻辑函数实现,内部包含芯片的结构,基本原理,逻辑电路图,通过Verilog HDL 语言进行描述设计的仿真和RTL分析,最后实现组合逻辑函数并且比较异同。
VHDL 4选一选择器
浏览:128
VHDL语言,四选一选择器试验。 entity mux41a is port(a,b:in std_logic; s1,s2,s3,s4:in std_logic; y: out std_logic); end entity mux41a; architecture one of mux41a is signal ab:std_logic_vector(1 downto 0); be
四选一数据选择器 EDA
浏览:35
5星 · 资源好评率100%
eda课程中用原理图实现四选一数据选择器,已编译成功!
八选一数据选择器基于VHDL语言编写
浏览:10
4星 · 用户满意度95%
八选一数据选择器基于VHDL语言编写 八选一数据选择器基于VHDL语言编写
四选一数据选择器+37线译码器-18北邮电子-数电实验2.zip
浏览:151
2018级北京邮电大学电子院大二下数电实验第二题。里面包含了全部文件包括分析。这个其实不难。学弟学妹们加油
4选1数据选择器扩展为8选一数据选择器
浏览:34
基于Multisim14制作的4选1数据选择器扩展为8选一数据选择器仿真图
74ls153全加器.7z
浏览:96
5星 · 资源好评率100%
主要由74ls153芯片组成的全加器
双4选1数据选择器74LS153.doc
浏览:142
5星 · 资源好评率100%
双4选1数据选择器74LS153.doc
四位比较器和八选一数据选择器
浏览:68
四位比较器和八选一数据选择器实验报告,图形及图形分析
二进制转换成BCD码
浏览:2
5星 · 资源好评率100%
此代码是将二进制数转换成BCD码,提供32位转换,可自行扩展
八位二进制转bcd码
浏览:89
3星 · 编辑精心推荐
用multisim11来实现八位二进制对bcd码得转换。其中包含了multisim实验电路图,可进行仿真看结果。
评论
收藏
内容反馈
立即下载
资源评论
资源反馈
评论星级较低,若资源使用遇到问题可联系上传者,3个工作日内问题未解决可申请退款~
联系上传者
评论
weixin_42240114
粉丝: 0
资源:
1
私信
上传资源 快速赚钱
我的内容管理
展开
我的资源
快来上传第一个资源
我的收益
登录查看自己的收益
我的积分
登录查看自己的积分
我的C币
登录后查看C币余额
我的收藏
我的下载
下载帮助
前往需求广场,查看用户热搜
最新资源
app-BlackBox64-.apk
疯狂大西瓜.apk
同态加密python.zip
基于Python的PCA人脸识别算法的原理及实现代码详解+源码+详细代码解析+开发文档+数据(毕业设计&课程设计&项目开发)
Decision tree20240105(1).ipynb
zuoyezuoyezuoye
zuoyezuoyezuoye
机械设计电机转子装配设备sw22非常好的设计图纸100%好用.zip
基于Spring Boot的住宅小区健身房管理系统
公益内核触摸《持续更新》等9个文件.rar
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功