没有合适的资源?快使用搜索试试~
我知道了~
文库首页
课程资源
专业指导
四选一数据选择器和基本触发器的设计
四选一数据选择器和基本触发器的设计
组成原理
课程设计报告
四选一数据选择器和基本触发器的设计
5星
· 超过95%的资源
需积分: 46
18 下载量
122 浏览量
2009-06-19
12:15:09
上传
评论
收藏
232KB
DOC
举报
温馨提示
立即下载
组成原理 课程设计报告 四选一数据选择器和基本触发器的设计
资源推荐
资源评论
4选1数据选择器设计
浏览:55
5星 · 资源好评率100%
基于FPGA的数据选择器 适合VHDL初学者 内涵数据选择器详细内容
4选1数据选择器
浏览:114
使用Labview实现4选1数据选择器,是一个简单的可供学习的labview实例
采用2个4选1数据选择器(74LS153)构成1个8选1数据选择器,要求自己设计电路,画出逻辑电路接线图,连接调试,并测试真值表,验证设计电路是否符合要求
浏览:18
5星 · 资源好评率100%
数据选择器的功能及应用,采用2个4选1数据选择器(74LS153)构成1个8选1数据选择器,要求自己设计电路,画出逻辑电路接线图,连接调试,并测试真值表,验证设计电路是否符合要求
四选一的选择器实现分配功能
浏览:62
它是用VHDL 语言来编写的。与MAX-PULLS 结合!
FPGA 数据选择器
浏览:25
FPGA 数据选择器
project_2MUX1_vivado四选一选择器仿真_vivado二选一_vivado仿真_vivado四选一_使用Veri
浏览:117
5星 · 资源好评率100%
vivado四选一选择器仿真,使用Verilog
Verilog VDL编写的四选一数据选择器报告
浏览:104
5星 · 资源好评率100%
Verilog VDL编写的四选一数据选择器报告,第一次使用Quartus 2,步骤详细,里面有附源代码。
四选一多路选择器vhdl程序代码
浏览:52
四选一多路选择器vhdl程序代码,利用max+plus软件进行仿真,课堂上的代码,亲测成功。
基本RS触发器设计与代码实现
浏览:37
基本RS触发器设计与代码实现
vivado下基于VHDL的d触发器
浏览:141
5星 · 资源好评率100%
刚刚开始学VHDL,自己写了一个d触发器,供大家参考指正
EDA-基本触发器,四选一数据选择器
浏览:10
基于verilog预言的基本触发器,四选一数据选择器
四选一数据选择器的设计说明.doc
浏览:199
四选一数据选择器的设计说明.doc
基于1_of_2共振隧穿数据选择器的可置位复位D触发器设计
浏览:122
基于1_of_2共振隧穿数据选择器的可置位复位D触发器设计
数据四选一选择器vhdl
浏览:35
5星 · 资源好评率100%
数据四选一选择器vhdl
四选一数据选择器 EDA
浏览:69
5星 · 资源好评率100%
eda课程中用原理图实现四选一数据选择器,已编译成功!
verilog选择器4选一
浏览:27
5星 · 资源好评率100%
verilog选择器4选一,四路输入,一路输出,还有两位做选择,压缩包里有生成的vcd文件以及*.v的源代码。
VHDL 4选一选择器
浏览:25
VHDL语言,四选一选择器试验。 entity mux41a is port(a,b:in std_logic; s1,s2,s3,s4:in std_logic; y: out std_logic); end entity mux41a; architecture one of mux41a is signal ab:std_logic_vector(1 downto 0); be
74157芯片同相输出四 2选1数据选择器
浏览:82
同相输出四 2选1数据选择器 , 。
verilog实现四选一片选功能
浏览:20
仪器设备:逻辑设计与FPGA实验仪一套 装有ISE的PC机一台
EDA四选一多路选择器的设计
浏览:145
多路选择器(又称为数据选择器) ①功能 在选择变量控制下,从多路输入数据中选中某一路数据送至输出端。对于一个具有2n个输入和1个输出的多路选择器,有n个选择变量。 ②典型芯片 典型中规模多路选择器有双4路数据选择器74153,其引脚排列图和逻辑符号如图1(a)、(b)所示。 数据选择器74153芯片含两个4路数据选择器,每个选择器接收4路数据输入,产生一个输出,两个4路数据选择器共用
mux4_1 四选一编码器 数字电路 eda设计
浏览:109
mux4_1 四选一编码器 数字电路 eda设计
3-8译码器,4选1多路选择器,Verilog HDL实验,华中科技大学
浏览:160
5星 · 资源好评率100%
3-8译码器,4选1多路选择器,Verilog HDL实验,华中科技大学
Xilinx 编码器的驱动与程序实现
浏览:172
赛灵思 FPGA编码器的驱动与程序实现。 。。。。。。。。。
Vivado使用入门(数字电路实验)
浏览:48
介绍了vivado编程基础~
eda四选一多路选择器的设计
浏览:10
5星 · 资源好评率100%
多路选择器(又称为数据选择器) ①功能 在选择变量控制下,从多路输入数据中选中某一路数据送至输出端。对于一个具有2n个输入和1个输出的多路选择器,有n个选择变量。 ②典型芯片 典型中规模多路选择器有双4路数据选择器74153,其引脚排列图和逻辑符号如图1(a)、(b)所示。 数据选择器74153芯片含两个4路数据选择器,每个选择器接收4路数据输入,产生一个输出,两个4路数据选择器共用两个
用数据选择器设计组合逻辑电路.doc
浏览:195
用数据选择器设计组合逻辑电路.doc
基于单片机的双通道四选一模拟信号选择器的设计.pdf
浏览:138
基于单片机的双通道四选一模拟信号选择器的设计.pdf
四选一数据选择器+37线译码器-18北邮电子-数电实验2.zip
浏览:59
2018级北京邮电大学电子院大二下数电实验第二题。里面包含了全部文件包括分析。这个其实不难。学弟学妹们加油
4选1数据选择器扩展为8选一数据选择器
浏览:129
基于Multisim14制作的4选1数据选择器扩展为8选一数据选择器仿真图
评论
收藏
内容反馈
立即下载
资源评论
资源反馈
评论星级较低,若资源使用遇到问题可联系上传者,3个工作日内问题未解决可申请退款~
联系上传者
评论
xxxlj
2013-10-18
不错的设计,学习了,谢谢楼主!
qq_40262539
2017-10-18
很好,学习了。
pjd0024
2012-07-17
本人水平有限,尚不能守全弄懂,只好仰望楼主了
yykable
粉丝: 3
资源:
16
私信
上传资源 快速赚钱
我的内容管理
展开
我的资源
快来上传第一个资源
我的收益
登录查看自己的收益
我的积分
登录查看自己的积分
我的C币
登录后查看C币余额
我的收藏
我的下载
下载帮助
前往需求广场,查看用户热搜
最新资源
javaee 后端餐饮系统
误差理论与大数据处理作业.doc
调度自动化主站系统的研究与应用.docx
贴片机运行与编程.ppt
基于k-means和谱聚类算法的数据分类matlab对比仿真【包括程序,注释,参考文献,操作步骤】
越海金刚砂地坪施工大数据分析研究.pptx
车辆管理信息化系统介绍.doc
转载使用许可协议范本(互联网行业)模版.doc
软件产业运行情况调研问卷模版.doc
软件产品发布管理流程.doc
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功