没有合适的资源?快使用搜索试试~
我知道了~
文库首页
开发技术
其它
Rdy-Python-Socks-5-Files:Source dos arquivos Python para tunelamento袜子5-python source file
Rdy-Python-Socks-5-Files:Source dos arquivos Python para tunelam...
系统开源
0 下载量
49 浏览量
2021-03-25
07:53:38
上传
评论
收藏
26KB
ZIP
举报
温馨提示
立即下载
Rdy-Python-Socks-5-文件 Source dos arquivos Python para tunelamento袜子5
资源评论
评论
收藏
内容反馈
立即下载
评论0
去评论
最新资源
runtime_CART.mat
DDR5最新技术规范0
基于Kotlin语言实现的交换两个变量的代码!
linux、c语言贪吃蛇项目代码文件
基于Fortran语言实现的计算阶乘的代码!
基于Swift语言实现的计算器功能代码!!
基于ruby的计算斐波那契数列的代码!!
建立一个推荐系统模型,提高电商平台的销售转化率-华数杯数学建模竞赛
feeds_tab_manager_simpleTabListCache
Unity ScrollRect Item 定位
神力锂电
粉丝: 28
资源:
4691
私信
上传资源 快速赚钱
前往需求广场,查看用户热搜
相关推荐
行业分类-设备装置-动态允许和禁止写XFR_RDY.zip
行业分类-设备装置-动态允许和禁止写XFR_RDY.zip
DMA.rar_dma_dma controller_out
RDY_L : in std_logic DACK_L : out std_logic DMARQ_L : out std_logic WR_L : inout std_logic ADDR : inout std_logic_vector(ADDR_WIDTH - 1 downto 0) DATA : inout std_logic_vector(DATA_WIDTH -
J2ME小游戏源代码
prevexp=lv*(lv-5)*(lv-5)-40*(lv-4)*(lv-4)+150; nextexp=prevexp+50*(lv+1)*(lv-4)*(lv-4)-40*(lv-3)*(lv-3)+150; } if(deadlv!=0){ exp=exp+deadlv*15+random.nextInt()%5;//怪物死亡时候等级和经验值的换算...
5星 · 资源好评率100%
研华运动控制卡PCI-1285-AE说明书
(RDY/LTC引脚转换为通用输入引脚, CAM-DO/CMP/SVON/ERC引脚转换为通用输出 引脚) 编码器接口 输入类型 正交 (A/B相,加/减) 每个编码器循环计数 x1, x2, x4 (仅A/B相) 输入范围 5 ~ 10 V 隔离保护 ...
rDy2b3UpOuttah3r5:面向对象编程SP2021
rDy2b3UpOuttah3r5 面向对象编程SP2021
rdy.rar_USB编程_rdy代码
详细的讲述了ffmeg代码的结构和外部接口,可以便于FFMEG学习者很好的掌握代码的全部结构
D:\uC_OS-II V2.51源码
i < OS_RDY_TBL_SIZE; i++) { *prdytbl++ = 0x00; } OSPrioCur = 0; OSPrioHighRdy = 0; OSTCBHighRdy = (OS_TCB *)0; /* TCB Initialization */ OSTCBCur = (OS_TCB *)0; OSTCBList = (OS_TCB *)0; fo
5星 · 资源好评率100%
西门子运动控制器D4X5-2操作手册
西门子D4X5-2系列手册,做设计可以用这个手册,英文手册。
mega6502:带有 Arduino Mega 2560 的 Apple One 复制品
65c02 应按如下方式连接到 Arduino 5v GND 5v Arduino | | +------\/------+ | | +---- 1| Vss /RES |40 -- 3k3 -+--- 52 +--- 3k3 ----- 2| RDY ϕ2 |39 | 3| ϕ1 /SO |38 +--- 3k3 ----- 4| IRQ
dtu固件参考手册
DTU版本默认上电是透传模式还是非... AIR720 的RDY信号--第5脚(GPIO_65)上电输出低电平,网络链接成功后输出高电平。定时采集功能支持透传和非透传模式吗?答:定时采集功能只有透传模式才能支持,支持串口1和2单独设
php100视频教程全部ppt(1-112)合集整理
php100视频教程全部ppt(1-112)合集整理
5星 · 资源好评率100%
I2C MASTER.zip
output wire rdy, input wire rwen, input wire rw, //0-read,1-write input wire[6:0] slave_addr, input wire[7:0] byte_addr, input wire[7:0] data_wr, output wire[7:0] data_rd, //I2C interface .
nsq.js:适用于Node.js的NSQ客户端
禁用自动RDY支持手动控制(高吞吐量等) 重新连接到死的nsqd节点 优雅的亲密支持 安装 $ npm install nsq.js 关于 调试 DEBUG环境变量可用于启用模块内的跟踪,例如,除成帧器外的所有nsq debug()调用: $ DEBUG...
KUKA机器人外部自动配置方法.docx
当机器人接 到$drivers_on后发出信号$peri_rdy给PLC,当PLC接到这个信号后要把 $drivers_on断开。 步骤5、PLC发给机器人$ext_start(脉冲信号)就可以启动机器人。 通过程序号(不校验奇偶)来选定程序的外部启动机器人...
github-democrat:[已弃用]使用https
前缀“ [RDY]”(可配置) 上一次提交的时间超过24小时 正面投票(另外2则React :thumbs_up: 比 :thumbs_down: 在PR说明中) 安装 npm install 编辑/创建文件.env以设置值GITHUB_OAUTH_TOKEN , GITHUB_...
goodhabits:简约习惯追踪器
好习惯 简约习惯追踪器 所有数据都保存在您的个人保管箱帐户中,而不存储在网站上的任何位置。 您可以尝试使用该应用程序。 https://riadd.github.io/goodhabits/
Roundy Agency-crx插件
圆形 - 缩写Rdy,是一家专门从事广告服务Mini以及互联网环境的公司。我们希望在传统和在线营销的方法中创建同步,有节奏,完全的方法,为客户创造多种,方便的选择,当时他们想要推广其品牌,产品/服务。营销为此,...
iscsi协议及实现
5.登录相之外的工作参数协商 6.错误处理和恢复 iSCSI的命名和编址 iSCSI使用类似URL的iSCSI名字来唯一鉴别启动设备和目标设备。 地址会随着启动设备和目标设备的移动而改变,但名字始终是不变的 iSCSI的命名和编址 ...
4星 · 用户满意度95%
STM32 OV2640 ESP8266图像传输
if(wr_rdy == 1) //禁止传输 { wr_rdy=0; //注意:必须及时清0,放在其后清0会导致EXTI中断置位后再次被复位 SPI_NSS_ENABLE(); UserEspSPISendbyte(0x02); //写指令 UserEspSPISendbyte(0x00); //写地址 for...
卡尔曼平滑滤波代码matlab-Kalman-Filter:非常简单的离散卡尔曼滤波
卡尔曼·克劳迪代码 matlab 卡尔曼滤波器 我为我关于卡尔曼滤波的研究制作了教程。 我附上了与卡尔曼滤波算法相关的好文章。 我忽略了我的大部分代码都受到 atushi 的启发。 算法总结 首先,尝试了解什么是测量模型和卡尔曼滤波器方程。 我的灵感来自卡尔曼滤波 - 使用 MATLAB 的理论和实践 我们使用恒速模型来预测状态矩阵。 样品 在这里,我们向您展示当人类侵入感应区域时的雷达跟踪场景示
5星 · 资源好评率100%
matlab鸢尾花降维代码-PCA:主成分分析
matlab鸢尾花降维代码
uwb定位matlab代码-UWB-Positioning:超宽带定位
uwb定位matlab代码超宽带定位 超宽带 (UWB) 室内定位系统。 信号处理 2017 年 1 月 – 2018 年 12 月 UCLouvain, 硕士生 项目:超宽带(UWB)室内定位系统。 UCLouvain 信号处理课程范围内的项目。 任务:目标是跟踪被 5 个接收器包围的室内发射器的位置。 提供了接收到的信号和原始信号,我们必须重新创建发射器随时间创建的路径,并将其与实际轨迹进行比
matlab光的衍射代码-FDTD-Solutions-Script-Library:FDTD解决方案用于超表面全息照相(FSMH)的脚本库
matlab光的衍射代码 超表面全息 FDTD Solutions 脚本库 FDTD Solutions Script Library for Metasurface Holographic 暂时自用,未完成。 项目简介 Lumerical FDTD Solutions 仿真超表面(Metasurface)用到的脚本文件。 功能特性 ./data/Material/: 存放材料数据 ./sourc
5星 · 资源好评率100%
BUAA-OSLAB-2020:北航小操作系统实验Lab0-Lab6
北航小操作系统实验Lab0-Lab6 实验 更新时间 实验室0 2020/4/11 实验1 2020/4/29 2020-06-07更新 Lab0-Lab6已全部完成。每个实验的报告会上传在博客里。(可能要等到考完试了才有时间弄了) 2020-06-28更新 实验报告Lab1-Lab4已发布在博客上。写这个太费时间了,你也能看到我写的也是超详细,Lab5和Lab6实在没时间写了,所以就咕
5星 · 资源好评率100%
pseudogen:一种从源代码自动生成伪代码的工具-ps source code
假性原 一种从源代码自动生成伪代码的工具。 安装 使用Docker 泊坞窗就是您所需要的。 docker attach `docker run -itd delihiros/pseudogen` /# cd pseudogen/data /# ../run-pseudogen.sh -f tune/travatar.ini 要求 需要Python 3.5+ apt install
4星 · 用户满意度95%
脑电提取均值信号特征的matlab代码-EEG-feature-seizure-detection:用于癫痫发作检测的脑电图特征工程
脑电提取均值信号特征的matlab代码用于癫痫发作检测的脑电图特征工程 该 repo 记录了癫痫发作检测任务中最具挑战性的部分 EEG 特征工程的 MATLAB 代码。 这些 EEG 特征已被 Citation 中已发表的论文所使用。 脑电特征域 功能编号 特征域 描述 1-4 基本统计 平均振幅、标准振幅、过零次数、振幅范围 5-16 光谱分析 每个频段的功率比和绝对功率,alpha、beta、
小波阈值去噪MATLAB代码-wdenoise:小波去噪
小波阈值去噪MATLAB代码瓦迪诺斯 使用经验贝叶斯阈值和许多其他阈值方法在ANSI C中进行小波消噪。 WDenoise对象,参数和函数 示例代码1:wdenoise(EBayesThresh) 示例代码2:wdenoise 示例代码3:使用EBayesThresh和Visushrink进行图像去噪 依存关系 Git和CMake 入门 Clone the project. cd to direc
5星 · 资源好评率100%
matlaba算法代码-hybrid_A_star:本仓库是对混合A*算法的matlab复现
matlab a算法代码 本仓库是对混合A*算法的matlab复现 算法结果图 如何使用 1.在matlab中直接运行EntryPoint.m文件即可,坐标的航向phi取值范围是[-pi,pi] 注意: 1.本仓库提供两个代码版本,其中without_comments分支是原作者的版本,并且修复了从左边直线行驶轨迹错误的bug(原因是VehicleCollisionCheck出错)。 2.mast
5星 · 资源好评率100%
离散控制Matlab代码-Event-triggered-controller:网络控制系统的事件触发控制的简单示例
离散控制Matlab代码事件触发控制 网络控制系统(NCS)的事件触发控制器的简单示例: 开发事件触发控制以减少网络控制系统中的通信负载。 这意味着系统输出或执行器信号仅在违反事件触发条件时才通过网络传输,该条件被设计为可以确保一定的控制性能。 离散时间和连续时间系统的Matlab代码的一些示例: 1-系统状态对传感器可用: 2-输出反馈,事件触发的PID控制器 3-最佳(状态反馈)控制 4-MP
5星 · 资源好评率100%
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功
评论0
最新资源