没有合适的资源?快使用搜索试试~
我知道了~
文库首页
硬件开发
嵌入式
MAP译码器嵌入式状态信息存储机制设计
MAP译码器嵌入式状态信息存储机制设计
状态信息
存储机制
0 下载量
176 浏览量
2020-08-05
17:16:39
上传
评论
收藏
76KB
PDF
举报
温馨提示
立即下载
本文介绍了MAP译码器嵌入式状态信息存储机制设计,提出了嵌入式度量存储(ESMS)
资源推荐
资源评论
嵌入式系统/ARM技术中的MAP译码器嵌入式状态信息存储机制设计
浏览:20
1.引言 在无线通信系统中,可靠的数据传输是一个非常重要的论题。Turbo编码得到逼近香农限的译码性能,成为研究和应用的热点。Turbo码的译码采用迭代运算的方式,即将前级译码器的输出作为外信息输入到本级译码运算,如此反复进行直到达到相应收敛度才结束译码。 Turbo码有多种译码算法,基于Bahl-Cocke-Je-linek-Raviv(BCJR)算法的MAP译码是最为广泛应用的一
十六进制7段数码显示译码器设计实验报告.pdf
浏览:13
5星 · 资源好评率100%
十六进制7段数码显示译码器设计实验报告.pdf十六进制7段数码显示译码器设计实验报告.pdf十六进制7段数码显示译码器设计实验报告.pdf十六进制7段数码显示译码器设计实验报告.pdf十六进制7段数码显示译码器设计实验...
十六进制7段数码显示译码器设计实验报告.docx
浏览:87
5星 · 资源好评率100%
十六进制7段数码显示译码器设计实验报告.docx十六进制7段数码显示译码器设计实验报告.docx十六进制7段数码显示译码器设计实验报告.docx十六进制7段数码显示译码器设计实验报告.docx十六进制7段数码显示译码器设计...
编码器、译码器及应用电路设计.docx
浏览:77
5星 · 资源好评率100%
编码器、译码器及应用电路设计.docx编码器、译码器及应用电路设计.docx编码器、译码器及应用电路设计.docx编码器、译码器及应用电路设计.docx编码器、译码器及应用电路设计.docx编码器、译码器及应用电路设计.docx...
38译码器集成电路版图课程设计报告
浏览:105
5星 · 资源好评率100%
38译码器集成电路版图课程设计报告
论文研究-具有高速递归结构的基-4MAP译码器.pdf
浏览:153
但是由于MAP算法中的递归运算限制,提高Turbo译码器的吞吐率是非常困难的。提出了一种新颖的MAP译码器结构。这种结构改进了基-4MAP译码器中的迭代结构以提高吞吐率,同时减少了以往基-4算法所引入的编码增益损耗。...
MAP译码算法的研究
浏览:31
map算法MAP算法在Turbo码译码中的实现及性能在数域中,串行级联的MAP算法是用于获得高性能的Turbo码译码器。一般情况下,解码器通过可编程门序列和EPROMs实现从4到512的任何状态码,其码率达到1/3至1/7(通过删余...
基于fpga的38译码器的设计
浏览:137
5星 · 资源好评率100%
译码器设计 一、实验目的: 1、通过3-8译码器的设计,让学生掌握组合逻辑电路的设计方法。 2、掌握组合逻辑电路的静态测试方法。 3、初步了解可编程器件设计的全过程。 二、实验要求: 1、采用原理图输入设计。 2、...
2-4译码器设计报告
浏览:178
5星 · 资源好评率100%
2-4译码器设计报告 利用QuartusII软件功能进行仿真分析,含实验目的,内容,步骤,等!
4-16译码器VHDL语言设计
浏览:99
5星 · 资源好评率100%
4-16译码器VHDL语言设计, library ieee; use ieee.std_logic_1164.all; entity cjg4_16 is port(DATA:in std_logic_vector(3 downto 0); EN :in std_logic; Y:out std_logic_vector(15 downto 0)); end entity ...
七段数码管译码器设计与实现
浏览:40
5星 · 资源好评率100%
七段数码管的设计与实现,dout, "0110000" when "0001", "1101101" when "0010", "1111001" when "0011", "0110011" when "0100", "1011011" when "0101", "1011111" when "0110", "1110000" when "0111", ...
BCD译码器电路multisim
浏览:144
5星 · 资源好评率100%
BCD译码器电路multisim
基于VHDL汉明码编码器与译码器的设计与实现
浏览:63
毕业设计中对于VHDL语言汉明码编码器与译码器的设计与实现的加深
DPCM译码器的设计与仿真——使用MATLAB设计
浏览:157
4星 · 用户满意度95%
本设计使用MATLAB采用m文件,实现对DPCM译码器的设计与仿真。为了调试和验证DPCM译码器的性能,根据DPCM的原理,在本程序设计中,设计了单独的DPCM发送端来产生差分脉冲信号。DPCM的发送端由信号发生器、抽样器、...
FPGA实验二2-4译码器设计实验报告原版 fpga开发.pdf
浏览:106
5星 · 资源好评率100%
FPGA实验二2-4译码器设计实验报告原版 fpga开发.pdf
广州大学数电实验报告组合逻辑电路的设计和MSI译码器逻辑功能测试 .docx
浏览:194
广州大学数电实验报告组合逻辑电路的设计和MSI译码器逻辑功能测试 .docx广州大学数电实验报告组合逻辑电路的设计和MSI译码器逻辑功能测试 .docx广州大学数电实验报告组合逻辑电路的设计和MSI译码器逻辑功能测试 ....
实验四、译码器和数据选择器
浏览:78
5星 · 资源好评率100%
关于译码器和数据选择器的实验课程 着重三八译码器和四选一、双四选一的数据选择器实际应用
数电实验之译码器和数据选择器.docx
浏览:183
5星 · 资源好评率100%
数电实验之译码器和数据选择器.docx数电实验之译码器和数据选择器.docx数电实验之译码器和数据选择器.docx数电实验之译码器和数据选择器.docx数电实验之译码器和数据选择器.docx数电实验之译码器和数据选择器.docx...
杭电数电实验编码器、译码器的应用
浏览:105
5星 · 资源好评率100%
3.掌握编码器的设计方法及应用。 4.熟悉数码管的工作原理及使用方法。 二、实验仪器及器件 序号 仪器或器件名称 型号或规格 数量 1 逻辑实验箱 SBL型 1 2 双踪示波器 CS-4125、DS1022 1 3 ...
STM32CubeMx6.4.0版本+JRE安装包
浏览:41
5星 · 资源好评率100%
STM32CubeMx6.4.0版本+JRE安装包
第十五届蓝桥杯嵌入式组省赛完整工程
浏览:88
该工程代码实现了第十五届蓝桥杯嵌入式组程序设计题目要求。
KEIL5 常用stm32芯片包下载
浏览:19
5星 · 资源好评率100%
Keil.STM32F0xx_DFP.1.0.0.pack keil.stm32f1xx_dfp.1.0.2.pack keil.stm32f2xx_dfp.1.0.4.pack Keil.STM32F3xx_DFP.1.0.0.pack keil.stm32f4xx_dfp.1.0.5.pack Keil.STM32L1xx_DFP.1.0.0.pack Keil.STM32L4xx_DFP.2
ser2pl64.sys是电脑重要系统文件,主要用于串口转USB,描述:USB-to-Serial Cable Driver
浏览:76
ser2pl64.sys是电脑重要系统文件,字面意思就是64位的串口Pl驱动系统配置文件,如果你的电脑安装PL2303等问题弹出”非旺玖原装的PL2303,请联系您的供货商“或者出现提示信息”ser2pl64.sys丢失“或者“ser2pl64.sys损坏”等错误弹窗信息提示,将会导致电脑出现蓝屏,甚至其他一些未知的问题,影响电脑的正常使用,若要解决这问题,需要重新下载一个ser2pl64.sys
CubeMX,6.11版本
浏览:113
CubeMX,6.11版本
心率模块,MAX30102模块+oled模块,stm32f103 hal库完整代码
浏览:31
资源免费下载,不用会员也可以下载。设置一次读取功能,再次读取需要复位stm32f103,读取数值比较精准,是完整性代码,可以直接用,引脚有相关改动。用之前记得看,里面包括了,oled显示+max30102;读取数值期间尽可能少触碰max30102的排针,会造成读取数值缓慢的可能。相关问题可私信我
第十四届蓝桥杯省赛电子类嵌入式组完整工程代码
浏览:87
该工程代码实现了第十四届蓝桥杯省赛嵌入式程序设计题的各项要求。
第十五届蓝桥杯嵌入式省赛真题题目和答案
浏览:144
第十五届蓝桥杯嵌入式省赛真题题目和答案
“ 官网 ”最新版-《Arm Compiler 5.06 update 7 (build 960) Lin32》
浏览:81
5星 · 资源好评率100%
最新发布的MDK5.37已经不再安装Arm Compiler 5(ARMCC)编译器了,因为点击魔术棒后,在Target选项卡中选择编译器时,会看到missing:compiler version 5,如果要使用compiler version 5,需要自己安装。 由于官网下载网速比较慢,小立提前下载好并分享给你们。
嵌入式入门-ADS-安装包
浏览:76
嵌入式入门_ADS_安装包 结合安装教程食用更佳
评论
收藏
内容反馈
立即下载
资源评论
资源反馈
评论星级较低,若资源使用遇到问题可联系上传者,3个工作日内问题未解决可申请退款~
联系上传者
评论
weixin_38504417
粉丝: 5
资源:
937
私信
上传资源 快速赚钱
我的内容管理
展开
我的资源
快来上传第一个资源
我的收益
登录查看自己的收益
我的积分
登录查看自己的积分
我的C币
登录后查看C币余额
我的收藏
我的下载
下载帮助
前往需求广场,查看用户热搜
最新资源
全国省市区身份证前6位编码对照表
Window 98 原版光盘*.bif引导文件及安装序列号
开源代码分享(23)-基于混合整数二阶锥规划(MISOCP)的主动配电网最优潮流matlab代码
MatrikonOPC 版本 1.9
简易风洞及控制系统(主控板+供电驱动)工程文件分享
学AI绘图【300集SD新课】-Stable Diffusion教程
徐州市区shp边界文件
基于python实现,带GUI的modbus数据模拟服务
简易数字频率计设计(原理图、PCB、源码、分析报告)
20225207038罗丽文财管专2201班.rar
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功