没有合适的资源?快使用搜索试试~
我知道了~
文库首页
课程资源
嵌入式
EDA数字时钟设计报告
EDA数字时钟设计报告
数字时钟设计
EDA设计时钟
需积分: 9
9 下载量
46 浏览量
2012-06-12
21:16:18
上传
评论
1
收藏
1.32MB
DOC
举报
温馨提示
立即下载
用Quartus软件设计基本数字时钟和Verilog HDL设计扩充功能的全过程
资源推荐
资源评论
eda多功能数字时钟课程设计.rar
浏览:59
5星 · 资源好评率100%
该资源基于quartusII开发 压缩包内含全部代码以及论文 eda 课程设计 多功能数字时钟 语言vhdl 功能 1.进行正常的时、分计时功能,二十四小时制计时 2.由数码管显示24h、60min 3.设置时间 4.整点报时 5.闹钟功能
eda数字时钟设计
浏览:128
5星 · 资源好评率100%
这是一个时钟设计说明书
基于eda数字时钟设计
浏览:170
4星 · 用户满意度95%
基于EDA的数字时钟设计,很好的源代码,已经经过调试了,可以直接运行。
EDA实验报告—数字时钟设计
浏览:118
一份完整的EDA实验报告——数字时钟设计,含源代码(VHDL语言)。中南大学的同学下载后可以直接使用。
EDA数字时钟设计(内含实验要求、代码及原理图)
浏览:170
EDA课程的期末考察任务,以前写的,但是只剩下这个文档了,要求如下: 结合实验室EDA实验箱,完成设计数字时钟。 1) 要求其显示时间范围是00:00 :00~23:59:59。 2) 时钟具有清零功能。 3) 时钟具有暂停计时。 4) 时钟具有调节时间功能。 5) 闹钟功能等。
基于EDA的数字时钟设计
浏览:182
5星 · 资源好评率100%
本系统由秒计数器、分钟计数器、小时计数器、整点报时、分的调整以及小时的调整并能显示小时、分钟、秒。采用自顶向下的设计方法,子模块利用VHDL语言设计,顶层文件用原理图的设计方法。显示:小时采用24进制,而分钟和秒均60进制。
EDA设计报告
浏览:34
EDA设计报告
简单数字时钟 EDA设计
浏览:38
4星 · 用户满意度95%
实现简单的数字时钟 有时序图之类~~并带有总结,是一个很好的资源
eda程序数字时钟设计
浏览:142
这是一个数字时钟设计,我真的不知道描述什么了,垃圾网站,传东西这么麻烦
EDA 数字时钟课程设计 Quartus II 闹钟 整点报时 含报告 源代码
浏览:129
3星 · 编辑精心推荐
一、设计内容 (利用QuartusⅡ软件,使用VHDL语言完成数字电子时钟的设计) ...2、具有清零功能,可对数字时钟的小时、分钟进行调整 3、12小时制和24小时制均可 三、总体实现方案 四、设计的详细步骤 五、总结
EDA课程设计 数字时钟的设计(VHDL)
浏览:144
5星 · 资源好评率100%
数字时钟的设计(EDA课程设计) 内含:实验目的 掌握VHDL语言的基本运用 掌握MAX+plusII的简单操作并会使用EDA实验箱 功能设计、系统设计、功能分析、创新点、VHDL代码
EDA 数字时钟实验设计报告
浏览:144
5星 · 资源好评率100%
用VHDL语言设计数字时钟电路, 1、正常的显示时、分、秒计时功能。 2、可引入秒脉冲进行校时、校分,并可用RESET信号给秒清零。 3、实现整点报时,当计时器道59分50秒开始鸣响,四声低声,一声高声。
EDA时钟设计
浏览:60
时钟设计哦,很实用的 ,对你们有帮助
数字时钟——EDA设计
浏览:9
有分、秒、时显示,可以整点报时 用VHDL语言设计分模块,再进行打包综合
EDA数字时钟设计课程设计
浏览:41
系统由AT89C51、LED 数码管、按键、电容、电阻等部分构成,能实现时间的调整、输出、调时间等功能。系统中按钮BUTTON能对时间进行调整功能的按钮,采用单键控制调时功能,运用软件去抖判断按键的时间从而选择完成相对应的功能
EDA数字时钟
浏览:8
eda专周,数字时钟,循环扫描。自动清零复位。软件版本 :quartus 9.1版
基于EDA技术的数字电子时钟设计修改
浏览:128
5星 · 资源好评率100%
电子设计自动化 Electronic Design Automation (以下简称EDA)技术已经代替传统的集成电路设计方法,逐渐成为电子系统设计者的主要设计手段。MAXplusⅡ是EDA仿真软件之一。具有功能强大、界面友好和使用方便等特点...
eda数字时钟的设计
浏览:140
5星 · 资源好评率100%
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all;
基于VHDL数字时钟的设计与实现EDA实验报告
浏览:11
5星 · 资源好评率100%
基于VHDL数字时钟的设计与实现EDA实验报告
EDA数字时钟.zip
浏览:76
5星 · 资源好评率100%
包含程序和课程设计报告 (1)基本要求:最大为 1 小时,精度要求为 0.01 秒,具有开始/暂停和清零功能,要求 能在数码管上面正确显示。 (2)在完成基本要求的基础上,可进一步增加功能(如能够存储多个数据并能够回查)...
EDA设计-Quartus Ⅱ软件设计多功能数字钟实验报告
浏览:56
EDA设计-Quartus Ⅱ软件设计多功能数字钟实验报告
数字时钟EDA
浏览:84
5星 · 资源好评率100%
数字时钟eda仿真设计报告 内容很详细的
评论
收藏
内容反馈
立即下载
资源评论
资源反馈
评论星级较低,若资源使用遇到问题可联系上传者,3个工作日内问题未解决可申请退款~
联系上传者
评论
YUXUYANGJIAN
粉丝: 1
资源:
9
私信
上传资源 快速赚钱
我的内容管理
展开
我的资源
快来上传第一个资源
我的收益
登录查看自己的收益
我的积分
登录查看自己的积分
我的C币
登录后查看C币余额
我的收藏
我的下载
下载帮助
前往需求广场,查看用户热搜
最新资源
数据中台的数据体系架构
词语语义和语法信息数学模型词向量词语语义和语法信息数学模型词向量
mybatis动态sqlmybatis动态sqlmybatis动态sql
MyBatis 动态SQL示例
R 语言回归数据分析可视化案例报告.docx
数据中台工程化交付任务分工及产出物
测距程序.uvproj
数据中台工程化交付RACI矩阵
微观时间矩阵作战.txt
MyBatis 动态 SQL 示例
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功