没有合适的资源?快使用搜索试试~
我知道了~
文库首页
行业
教育
电梯楼层显示控制控制器课程设计.doc
电梯楼层显示控制控制器课程设计.doc
1.该资源内容由用户上传,如若侵权请联系客服进行举报
2.虚拟产品一经售出概不退款(资源遇到问题,请及时私信上传者)
版权申诉
电梯楼层显示控制控制器课程设计
5星
· 超过95%的资源
1 下载量
33 浏览量
2021-07-06
18:27:22
上传
评论
收藏
333KB
DOC
举报
温馨提示
限时特惠:¥4.90
14.90
电梯楼层显示控制控制器课程设计
资源推荐
资源评论
单片机课设 电梯楼层显示器设计.doc
浏览:190
单片机课设 电梯楼层显示器设计.doc
电梯楼层显示电路课程设计.doc
浏览:190
5星 · 资源好评率100%
电梯楼层显示电路课程设计
电梯显示控制器(数电课程设计)
浏览:78
4星 · 用户满意度95%
中规模集成芯片,数电课程设计,楼层显示,目标记忆,点阵状态显示
电梯楼层控制显示
浏览:5
利用D触发器74LS74作为控制电梯按钮(即触发电平),经过编码器74LS148及比较器74LS85、锁存器C373、计数器74LS192来实现其电梯控制功能。采用DCLOCK的功能来实现电梯上行下行所需要的时间,利用BCD数码管显示楼层所在的数。
专题资料(2021-2022年)八层电梯楼层定位及显示的梯形图控制程序设计与调试课程设计说明书.doc
浏览:167
专题资料
MCGS组态软件课程设计-用组态软件实现八层电梯楼层定位及显示PLC程序设计.doc
浏览:21
MCGS组态软件课程设计-用组态软件实现八层电梯楼层定位及显示PLC程序设计
电梯楼层显示使用说明.doc
浏览:111
电梯楼层显示使用说明.doc
基于51单片机的电梯控制器设计方案.doc
浏览:59
基于51单片机的电梯控制器设计方案,本方案基本功能已具备,电梯内有各楼层按钮和紧急呼叫按钮和开关门提示音以及警报声,各楼层有上下行按钮,希望各位能够采纳,个人所作,学校课程要求。
VHDL电梯控制器程序设计与仿真.doc
浏览:22
5星 · 资源好评率100%
电梯控制器VHDL程序与仿真。 -- --文件名:dianti.vhd。 --功能:6层楼的电梯控制系统。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity ...
计算机控制技术综合设计.doc.doc
浏览:84
11 第四章 程序设计 12 4.1 I/O单元分配 12 4.2 系统梯形图的设计 13 4.2.1 开门环节 13 4.2.2 关门环节 14 4.2.3 楼层显示环节 15 4.2.4 内呼信号的登记与消除环节 16 4.2.5 外呼信号的登记与消除环节 17 4.2.6 ...
基于51单片机的电梯设计.doc
浏览:28
第二章 硬件设计 2.1 系统整体方案论证 按照题目要求,电梯控制系统由中心控制模块、用户呼叫信号输入模块、电机控制 与驱动模块、位置检测模块和显示等组成。 方案一 采用CPLD 器件作为控制中心,对整个系统的运作...
8×8-LED点阵屏模仿电梯运行的楼层显示.doc
浏览:43
8×8-LED点阵屏模仿电梯运行的楼层显示.doc
IC 卡电梯楼层智能控制管理系统 解决方案.doc
浏览:123
IC 卡电梯楼层智能控制管理系统 解决方案
88LED点阵屏模仿电梯运行的楼层显示.doc
浏览:4
88LED点阵屏模仿电梯运行的楼层显示.doc
梯控系统的解决方案设计.doc
浏览:108
当IC 卡电梯控制器确认某层有权用户的权限后,如果该有权用户只能去某一楼层时,楼层 继电器跳变,而管理继电器不跳变;如果该有权用户能去多个楼层时,管理继电器跳 变,而层楼继电器不跳变。 3. 物业管理部门用户...
基于51单片机的电梯设计(1).doc
浏览:124
第二章 硬件设计 2.1 系统整体方案论证 按照题目要求,电梯控制系统由中心控制模块、用户呼叫信号输入模块、电机控制 与驱动模块、位置检测模块和显示等组成。 方案一 采用CPLD 器件作为控制中心,对整个系统的运作...
日立电梯YPVF培训教程.doc
浏览:167
5 第三节 YPVF的数字选层器原理 7 一 旋转编码器 7 二 怎样利用旋转编码器判断运行方向 7 三 数字选层器 7 四 同步位置的校正 9 第四节 YPVF控制屏与轿厢的串行通信 11 一 轿厢送控制屏的主要信号 11 二 串行通信的...
酒店监控系统方案设计.doc
浏览:23
酒店监控方案 目录 1、设计依据 2 2、设计原则 2 3、系统设计方案 3 3.1设计说明 3 3.2系统功能目标 4 3.3监控点的设置 4 3.4系统构成 5 3.4.1中央控制室 5 3.4.2系统前端设备 6 3.4.3报警部分 6 3.4.4信号传输 6 ...
梯控培训手册资料.doc
浏览:155
电梯主控板 电梯楼层控制器可以归结为以电梯主控制器为中心的管理方式。电梯的主控板作为读 卡器、I/O控制板、楼层信号检测器等的信息处理中心,负责整个系统的运行。电梯主控 制器上预留读卡器及继电器的接口,...
单片机原理及应用--线下设计.doc
浏览:55
本次设计的电梯控制器至少可以控制5层,每层外部均需要呼叫按键,为乘坐电梯使用。电梯轿厢内部也需要1层~5层的按键,以为乘坐电梯选择目的楼层。
日立YPVF电梯培训教程.doc
浏览:118
另外,YPVF系统中还包括:与感应电动机随动,可发送脉冲信号到主、副微机的旋转 编码器、传递楼层位置信号的位置检测器FML、可接受指令信号和开关输入信号的轿操纵 箱C.B和厅外召唤箱H.B,以及系统的各种保护装置。...
楼宇自控系统设计方案.doc
浏览:14
第二级为直接式数字控制器,第三级为采集现场信号的传感器和执行机构 。直接数字控制器、传感器及执行机构随被控设备就近设置。 楼宇自控系统留有与消防报警系统、综合保安系统、闭路电视监控系统、停车场管理 系统...
小区简易智能照明系统设计方案.doc
浏览:29
本系统可编程开关控制器设于各层强电间的照明配电箱内,管理工作站与控制器采用 两层结构进行通信。网关、控制模块以及控制面板通过RS485总线通信,网关通过TCP/I P网络与管理电脑通信。每条总线最大传输距离要求不...
日立YPVF电梯培训教程(1).doc
浏览:75
另外,YPVF系统中还包括:与感应电动机随动,可发送脉冲信号到主、副微机的旋转编 码器、传递楼层位置信号的位置检测器FML、可接受指令信号和开关输入信号的轿内操纵 箱C.B和厅外召唤箱H.B,以及系统的各种保护装置....
1视频监控系统的调试方案.doc
浏览:79
电梯摄像机视频信号采用由电梯公司提供的电梯专用的综合电缆传输, 并在电梯机房设楼层信号叠加器,将电梯运行楼层的信号叠加后通过监控系统的SYV- 75-5同轴电缆传输。快球摄像机控制电缆采用RVVP- 2X1.0屏蔽多芯铜...
酒店无线网络设计方案方案-101216.doc
浏览:89
1无线控制器选型21 5.2无线接入点(AP)选型28 5.3天线选型36 5。3。1TQJ-2400XTG室内吸顶天线:37 5.4 方案设备配置清单38 一、概述 近年来,随着国内旅游业的蓬勃发展以及各种商务活动异地化、全球化的趋势,人们 ...
智能门锁功能及技术参数.doc
浏览:91
公共通道门、电梯控制功能:针对酒店的公共通道门 和电梯,可以配合门禁控制器、电梯控制器(也可以直接使用门锁),将其设置为相应 区域的客人卡可以开启或使用,提高安全性。 1.9可扩充功能 智能卡容量大,除了...
BA系统监控范围.doc
浏览:185
送排风系统(送风机、排风机) 给排水系统(集水坑、生活水泵) 公共照明(各楼层公共区域照明,仅在软件上预留,待二装深化完成后,再确定 最终监控回路) 室外环境(室外温湿度) 电梯系统 发电机组 变配电系统 太阳能系统...
评论
收藏
内容反馈
1.该资源内容由用户上传,如若侵权请联系客服进行举报
2.虚拟产品一经售出概不退款(资源遇到问题,请及时私信上传者)
版权申诉
限时特惠:¥4.90
14.90
资源评论
资源反馈
评论星级较低,若资源使用遇到问题可联系上传者,3个工作日内问题未解决可申请退款~
联系上传者
评论
m0_64847073
2021-12-11
用户下载后在一定时间内未进行评价,系统默认好评。
文档优选
粉丝: 90
资源:
1万+
私信
上传资源 快速赚钱
我的内容管理
展开
我的资源
快来上传第一个资源
我的收益
登录查看自己的收益
我的积分
登录查看自己的积分
我的C币
登录后查看C币余额
我的收藏
我的下载
下载帮助
前往需求广场,查看用户热搜
最新资源
使用YOLOv5和Flask实现飞机目标检测
C#条件语句编写控制台程序
myAtm12.zip
main.cpp
C# 输出数据到控制台程序
tracker.sqlite
base.apk.1
autosar.rar
神州数码 DCN-WL8200-I2(R2.1)相关文档
个人C语言学习实录练习题-链表.数据结构.快速排序练习.rar
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功