基于cyclone2 FPGA设计的VGA显示Quartus工程VHDL源码+文档说明.rar
2.虚拟产品一经售出概不退款(资源遇到问题,请及时私信上传者)
基于cyclone2 FPGA设计的VGA显示飞机小游戏Quartus工程源码+文档说明 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity game is Port ( clk_0 : in std_logic; --输入时钟信号50M reset:in std_logic; --复位信号 start : in std_logic; --开始信号 fire,key_l,key_r : in std_logic; --子弹,左移,右移按键信号 hs,vs: out std_logic; --VGA显示的行扫描和场扫描信号 pic_rgb: out std_logic_vector(2 downto 0)); --VGA显示的RGB颜色信号 end game; architecture behav of game is --------------------------------VGA显示的参数,用于控制VGA信号的时序----------- constant h_pixels:integer:=640; constant h_front:integer:=16; constant h_back:integer:=48; constant h_synctime:integer:=96; constant h_period:integer:=h_synctime+h_pixels+h_front+h_back; --800 constant v_lines:integer:=480; constant v_front:integer:=10; constant v_back:integer:=33; constant v_synctime:integer:=2; constant v_period:integer:=v_synctime+v_lines+v_front+v_back; --525 -------------------------------------------------------------------------------- signal movclk,clk : std_logic; signal life_bullet,life_plane,score:integer; --signal enemy_x3,enemy_y3,life_enemy3,life_enemy2,life_enemy:integer; signal bullet_x,bullet_y,plane_x,plane_y,enemy_x,enemy_y,enemy_x2,enemy_y2,hcnt,vcnt : integer; --------------------------------------------------------------------------------- -- 用于控制我方飞机和敌方飞机,子弹,分数,GAME OVER等图形的显示 component picture is Port ( clk : in std_logic; hcnt : in integer; vcnt : in integer; plane_x:in integer; plane_y:in integer; enemy_x:in integer; enemy_y:in integer; enemy_x2:in integer; enemy_y2:in integer; -- enemy_x3:in integer; -- enemy_y3:in integer; bullet_x:in integer; bullet_y:in integer; life_plane:in integer; -- life_enemy:in integer
- 1
- 2
- wangdian1682022-05-21用户下载后在一定时间内未进行评价,系统默认好评。
- 粉丝: 87
- 资源: 5820
- 我的内容管理 展开
- 我的资源 快来上传第一个资源
- 我的收益 登录查看自己的收益
- 我的积分 登录查看自己的积分
- 我的C币 登录后查看C币余额
- 我的收藏
- 我的下载
- 下载帮助