• Two_Port_RAM.zip

    通过FPGA编写程序,用Verilog语言实现双端RAM,附有详细的说明,程序参考性强,程序移植方便!

    0
    56
    2.02MB
    2020-07-13
    9
  • 在FPGA上采用Verilog语言实现URAT

    在FPGA上采用Verilog语言实现URAT ,实现异步串口通讯收发控制,配有异步串口通讯收发控制实例验证代码,便于UART验证,移植新开发应用

    0
    116
    3KB
    2018-04-08
    10
  • 阅读者勋章

    授予在CSDN APP累计阅读博文达到3天的你,是你的坚持与努力,使你超越了昨天的自己。
  • 分享学徒

    成功上传1个资源即可获取
关注 私信
上传资源赚积分or赚钱