• FPGA实现交通灯,通过vivado2018.3建立工程

    FPGA实现交通灯控制。 具体实现如下设计: 本次设计**目的**为设计制作一个**十字路口交通指示灯简易控制电路**,该电路实现了红灯亮表示停止,绿灯亮表示通行,黄灯亮表示等待,通过控制数码管来显示时间,红绿灯通过控制LED灯来实现。 题目如下: 1、东西、南北两条路以**绿灯20秒**--**黄灯4秒**--**红灯16秒**的顺序,依次点亮**LED灯**。 2、采用倒计时显示剩余时间,每个路口使用两个**七段数码管**来显示剩余时间。 3、紧急情况下,可以通过按动**紧急按钮**,将东西、南北两方向的红绿灯都置为**红灯**。按动紧急按钮后,两方向都是**红灯持续20秒**,之后恢复正常亮灯顺序。

    0
    22
    59.58MB
    2024-04-14
    5
  • 双口RAM乒乓操作 verilog实现

    使用verilog 实现双口RAM的乒乓操作,使用vivado2017.4实现,有详细代码解释。 整体包含顶层模块、控制模块、RAM存储模块、输入数据二选一模块、输出数据二选一模块。

    5
    379
    14.84MB
    2022-12-02
    5
  • verilog 实现自动售货机

    verilog实现自动售货机,包含testbench文件,可仿真

    0
    584
    2KB
    2022-07-01
    5
  • MAX3815A TMDS视频数据均衡器中文数据手册.pdf

    MAX3815A 视频数据均衡器的中文数据手册

    0
    113
    1.62MB
    2022-01-10
    10
  • 考研写作替换词,必备!.pdf

    考研写作替换词,必备!.pdf

    0
    90
    1.37MB
    2020-05-23
    10
  • 创作能手

    授予每个自然周发布4篇到6篇原创IT博文的用户
  • 阅读者勋章

    授予在CSDN APP累计阅读博文达到7天的你,是你的坚持与努力,使你超越了昨天的自己。
  • 新秀勋章

    用户首次发布原创文章,审核通过后即可获得
  • 分享学徒

    成功上传1个资源即可获取
关注 私信
上传资源赚积分or赚钱