• 8位Booth乘法器

    Booth乘法器及测试 8*8bit booth 乘法器及测试 module multiplier(prod, busy, mc, mp, clk, start); output [15:0] prod;// short for product output busy; input [7:0] mc, mp;// multiplicand multipier input clk, start; reg [7:0] A, Q, M; reg Q_1; reg [3:0] count;

    4
    1233
    3KB
    2011-12-29
    46
  • 分享学徒

    成功上传1个资源即可获取
  • 阅读者勋章

    授予在CSDN APP累计阅读博文达到7天的你,是你的坚持与努力,使你超越了昨天的自己。
关注 私信
上传资源赚积分or赚钱