• 基于FPGA的信号发生器

    1. 信号发生器在同一端口能产生正弦波、锯齿波、方波、和三角四种周期性波形。 2. 输出频率范围10Hz~10MHz。 3. 实现输出信号频率和幅度可调节。 1.根据设计要求,合理选择系统所需的外设组件,并完成相应电路的设计;能够完成各模块的状态转换的分析; 2.根据设计要求,确定系统架构并利用硬件描述语言设计各个功能模块; 3.利用Modelsim完成各个功能模块的仿真; 4. 完成系统联调,下板并给出系统调试的结果。

    5
    2236
    8.65MB
    2019-01-10
    46
  • 频率计的设计

    频率计又称为频率计数器,是一种专门对被测信号频率进行测量的电子测量仪器。其最基本的工作原理为:当被测信号在特定时间段T内的周期个数为N时,则被测信号的频率f=N/T。要求运用所学的电子技术知识设计一个能够测量正弦波信号频率的电路,技术指标如下: 1.测频范围为1~9999Hz,精度为1Hz。 2.用数码管显示测频结果。 3.当信号频率超过规定的频段时,设有超量程显示。 测试条件:在输入信号峰值为0.1V的情况下测试。

    0
    236
    692KB
    2019-01-10
    16
  • 基于FPGA的多通道信号发生器

    以可编程逻辑器件(FPGA)为载体,设计输出三种标准波形,包括正弦波、方波、三角波,实现频率可调,输出波形信号稳定,即利用FPGA实现直接数字频率合成计DDS。可改变波形发生器输出信号的种类、频率、所在通道。在QuartusII平台下实现顶层设计及功能仿真,尝试提出硬件设计方案,在现有实验平台下进行演示。

    0
    584
    930KB
    2019-01-10
    50
  • 分享小兵

    成功上传3个资源即可获取
关注 私信
上传资源赚积分or赚钱