• 无符号乘法器累加器

    Verilog HDL中的一个8比特无符号乘法器累加器设计,它具有寄存I/O端口,支持同步装入。综合工具能够探测HDL代码中的乘法器累加器设计,自动推断出altmult_accum宏功能,提供最优结果。

    1
    670
    557B
    2018-05-27
    37
上传资源赚积分or赚钱