REM
REM Vivado(TM)
REM htr.txt: a Vivado-generated description of how-to-repeat the
REM the basic steps of a run. Note that runme.bat/sh needs
REM to be invoked for Vivado to track run status.
REM Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
REM Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved.
REM
vivado -log LED.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source LED.tcl -notrace
没有合适的资源?快使用搜索试试~ 我知道了~
基于vivado2023.2 第1个工程 用ZYNQ的PL(FPGA)设计流水灯
共101个文件
pb:18个
rst:18个
rpt:12个
需积分: 0 5 下载量 72 浏览量
2024-02-26
16:27:43
上传
评论
收藏 1022KB RAR 举报
温馨提示
基于vivado2023.2 第1个工程 用ZYNQ的PL(FPGA)设计流水灯
资源推荐
资源详情
资源评论
收起资源包目录
基于vivado2023.2 第1个工程 用ZYNQ的PL(FPGA)设计流水灯 (101个子文件)
__synthesis_is_complete__ 0B
runme.bat 305B
runme.bat 305B
LED.bit 1.99MB
LED_routed.dcp 257KB
LED_physopt.dcp 252KB
LED_placed.dcp 251KB
LED_opt.dcp 239KB
LED.dcp 16KB
LED.dcp 16KB
vivado.jou 896B
vivado_16072.backup.jou 896B
vivado.jou 890B
ISEWrap.js 8KB
ISEWrap.js 8KB
rundef.js 1KB
rundef.js 1KB
runme.log 36KB
runme.log 16KB
01_PL_LED_TEST.lpr 478B
vivado.pb 21KB
opt_design.pb 14KB
place_design.pb 13KB
route_design.pb 12KB
write_bitstream.pb 6KB
phys_opt_design.pb 3KB
init_design.pb 2KB
LED_power_summary_routed.pb 866B
LED_utilization_placed.pb 224B
LED_utilization_synth.pb 224B
vivado.pb 112B
incr_synth_reason.pb 57B
LED_timing_summary_routed.pb 52B
LED_methodology_drc_routed.pb 52B
LED_route_status.pb 43B
LED_drc_routed.pb 37B
LED_drc_opted.pb 37B
LED_bus_skew_routed.pb 30B
LED_io_placed.rpt 118KB
LED_timing_summary_routed.rpt 37KB
LED_clock_utilization_routed.rpt 10KB
LED_utilization_placed.rpt 10KB
LED_power_routed.rpt 9KB
LED_utilization_synth.rpt 8KB
LED_methodology_drc_routed.rpt 6KB
LED_control_sets_placed.rpt 4KB
LED_drc_routed.rpt 2KB
LED_drc_opted.rpt 2KB
LED_bus_skew_routed.rpt 919B
LED_route_status.rpt 588B
LED_timing_summary_routed.rpx 32KB
LED_power_routed.rpx 15KB
LED_methodology_drc_routed.rpx 7KB
LED_bus_skew_routed.rpx 1KB
LED_drc_routed.rpx 346B
LED_drc_opted.rpx 345B
.vivado.begin.rst 418B
.vivado.begin.rst 209B
.route_design.begin.rst 171B
.opt_design.begin.rst 171B
.write_bitstream.begin.rst 171B
.phys_opt_design.begin.rst 171B
.place_design.begin.rst 171B
.init_design.begin.rst 171B
.vivado.end.rst 0B
.init_design.end.rst 0B
.Vivado_Implementation.queue.rst 0B
.write_bitstream.end.rst 0B
.route_design.end.rst 0B
.phys_opt_design.end.rst 0B
.opt_design.end.rst 0B
.place_design.end.rst 0B
.vivado.end.rst 0B
.Vivado_Synthesis.queue.rst 0B
ISEWrap.sh 2KB
ISEWrap.sh 2KB
runme.sh 1KB
runme.sh 1KB
LED.tcl 5KB
LED.tcl 5KB
htr.txt 466B
htr.txt 458B
clockInfo.txt 376B
LED.v 330B
LED.vdi 36KB
LED_16072.backup.vdi 31KB
LED.vds 16KB
synthesis.wdf 7KB
project.wdf 4KB
synthesis_details.wdf 100B
project.wpc 121B
LED_propImpl.xdc 493B
LED_CO.xdc 192B
gen_run.xml 7KB
gen_run.xml 2KB
webtalk_pa.xml 1KB
hw.xml 916B
vrs_config_2.xml 711B
vrs_config_3.xml 518B
vrs_config_1.xml 497B
共 101 条
- 1
- 2
资源评论
路上有只喵
- 粉丝: 285
- 资源: 2
上传资源 快速赚钱
- 我的内容管理 展开
- 我的资源 快来上传第一个资源
- 我的收益 登录查看自己的收益
- 我的积分 登录查看自己的积分
- 我的C币 登录后查看C币余额
- 我的收藏
- 我的下载
- 下载帮助
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功