![](https://csdnimg.cn/release/download_crawler_static/86651455/bg1.jpg)
智力抢答器源程序
加入收藏
� 来源:
� 作者:qdq
� 时间:2005-11-1 21:40:25
� 网友评论:0
� 点击数:2707
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity count10 is
port( clk:in std_logic; --1hz
en:in std_logic;
clr:in std_logic;
up,dn:in std_logic;
dout:out std_logic_vector(3 downto 0)
);
end count10;
architecture behav of count10 is
signal q:integer range 0 to 9;
begin
process(clk,clr)
begin
if clr='1' then q<=3;