SPI总线硬件描述语言Verilog下的实现,含主模式和从模式的实现,经过仿真验证,可作为一个单独的模块使用.zip

preview
共3个文件
v:3个
版权申诉
0 下载量 30 浏览量 2023-11-27 15:07:23 上传 评论 收藏 6KB ZIP 举报