基于FPGA的74HC595驱动数码管动态显示--Verilog实现

59 下载量 86 浏览量 2020-08-10 23:04:17 上传 评论 9 收藏 214KB PDF 举报
weixin_38601499
  • 粉丝: 2
  • 资源: 938

相关推荐