点亮数字人生实验报告
计 71 张程远 2017011429
一、实验目的
1、通过数码管点亮程序,熟悉 VHDL 语言,了解掌握硬件程序的编写规范。
2、掌握 EDA 软件的使用方法和工作流程。
3、进一步理解可编程芯片的工作原理。
二、实验内容
1、同时点亮一个经过译码的数码管和一个未经过译码的数码管。数码管从 0 到 f,带译码的
显示 0 到 9。
2、设计一个数码管显示实验,要求数码管能够显示奇数列、偶数列和自然数列,尽可能多
地点亮数码管。要求实验中至少使用一个不带译码的数码管。
三、代码及注释
1、同时点亮一个经过译码和未经过译码的数码管:
代码部分:
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
entity convertor is
port(
inp: in std_logic_vector(3 downto 0); --输入
display: out std_logic_vector(6 downto 0); --不带译码的输出向量
display_trans: out std_logic_vector(3 downto 0) --带译码的输出向量
);
end convertor;
architecture prc of convertor is
begin
display_trans <= inp; --带译码的直接赋值
process(inp)
begin
case inp is
评论0