没有合适的资源?快使用搜索试试~
我知道了~
文库首页
开发技术
其它
通用译码器—VHDL
通用译码器—VHDL
通用译码器
decoder
5星
· 超过95%的资源
需积分: 9
5 下载量
19 浏览量
2012-06-15
16:38:48
上传
评论
收藏
1KB
VHD
举报
温馨提示
立即下载
通用译码器 decoder VHDL语言
资源推荐
资源评论
译码器VHDL
浏览:170
数字电路设计实验用VHDL语言实现的单数码管译码器
4-16译码器VHDL语言设计
浏览:103
5星 · 资源好评率100%
4-16译码器VHDL语言设计, library ieee; use ieee.std_logic_1164.all; entity cjg4_16 is port(DATA:in std_logic_vector(3 downto 0); EN :in std_logic; Y:out std_logic_vector(15 downto 0)); end ent
3线—8线译码器VHDL实现
浏览:138
4星 · 用户满意度95%
VHDL语言的经典例子,这个是老师上课时候检查过的,保准能够实现!!!
VHDL语言实现3-8译码器
浏览:34
5星 · 资源好评率100%
用VHDL语言实现3-8译码器,在不同情况下进行不同译码
VHDL实现3-8译码器.zip
浏览:76
使用,VHDL实现3-8译码器,Quartus II 操作.可编程逻辑器件.
VHDL 译码器
浏览:89
基于CPLD的译语言码器代码,VHDL语言编写,适用于quartes II 软件
VHDL.rar_vhdl通用译码器_指令译码器
浏览:5
基于VHDL设计的通用实验CPU中译码器部分,用于进行指令译码。
3-8译码器设计VHDL
浏览:120
5星 · 资源好评率100%
3-8译码器设计全VHDL代码,仿真图形,硬件验证结果等等!!!
通用加法器VHDL编程
浏览:182
4星 · 用户满意度95%
运用VHDL语言编写的通用加法器语句和vhdl的基本知识
38译码器 VHDL
浏览:42
基于fpga编写的VHDL3-8译码器。3个输入口,进行译码后在8个输出口输出。低电平有效
VHDL实现3-8译码器
浏览:46
4星 · 用户满意度95%
此工程实现了3-8译码器的编译与封装!编译的过程中未出现错误和警告,欢迎使用!
通用寄存器组设计(VHDL)
浏览:180
5星 · 资源好评率100%
按照题目要求设计一个通用寄存器组的逻辑,决定外部的端口(名称、有效电平)和内部各元件的连接,画出系统框图和逻辑图,设计仿真数据,用VHDL编程和仿真。 1.16位寄存器 功能要求:同步并行置数,异步复位(清零...
VHDL 程序举例,设计很多基础试验
浏览:164
4星 · 用户满意度95%
、优先编码器、8位大小比较器 、8位总线收发器:74245 (注2)、地址译码(for m68008) 、三人表决器(三种不同的描述方式) LED七段译码 (注1) 、多路选择器(使用if-else语句)、双2-4译码器:74139 、多路...
具体程序 VHDL程序实例
浏览:114
多路选择器 双2-4译码器 多路选择器 汉明纠错码编码器 双向总线 汉明纠错吗译码器 三态总线 时序逻辑: 四D触发器 用状态机实现的计数器 简单的锁存器 各种功能的计数器 简单的12位寄存器 通用寄存器 带load...
用VHDL设计138译码器
浏览:131
熟悉MAX+PLUSII的基本操作,掌握文本输入方法,了解CPLD设计过程。
VHDL七段译码器
浏览:84
VHDL七段译码器,输入二进制代码,通过译码器,能够直接在数码管上显示
VHDL 七段译码器
浏览:110
4星 · 用户满意度95%
利用Vhdl语言编的七段译码器的程序,多谢下载
八三译码器 VHDL EDA
浏览:149
5星 · 资源好评率100%
八三编码器 VHDL EDA八三编码器 VHDL EDA
VHDL语言的三八译码器
浏览:152
工程代码部分文件,讲过自己的测试,含有源代码和测试文件,可以直接仿真。
vhdl基础实例源码50个合集.zip
浏览:186
5星 · 资源好评率100%
双2-4译码器:74139.txt 双向总线(注2).txt 各种功能的计数器.vhd 四D触发器74175.txt 地址译码(for m68008).txt 多路选择器(使用when-else语句).txt 布斯乘法器.txt 带load、clr等功能的寄存器.vhd 带三态...
VHDL语言设计实例程序源码(45例).zip
浏览:6
5星 · 资源好评率100%
双2-4译码器:74139.txt 双向总线(注2).txt 各种功能的计数器.vhd 四D触发器74175.txt 地址译码(for m68008).txt 多路选择器(使用when-else语句).txt 布斯乘法器.txt 带load、clr等功能的寄存器.vhd 带三态...
VHDL CPU
浏览:38
VHDL制作的CPU,使用VHDL制作的通用寄存器组,函数发生器ALU,移位逻辑,RAM,指令译码器,指令计数器,选择器,指令寄存器IR,SM 以及整合好的CPU(通过门控时钟控制)。
通用寄存器组
浏览:49
寄存器组由8个16位寄存器以及一个3——8线译码器构成
zhiliyimaqi.rar_VHDL/FPGA/Verilog_VHDL_
浏览:100
3-8译码器,主要用于选择通用寄存器的哪个,很好用的
38译码器 VHDL 5/8 分频器VHDL
浏览:14
4星 · 用户满意度95%
38译码器 VHDL 5/8 分频器VHDL
3-8译码器.rar_软件工程_VHDL_
浏览:149
基于vhdl的3-8译码器的代码输入、测试平台及仿真
VHDL.rar_2 4译码器_2-4译码器
浏览:58
5星 · 资源好评率100%
数字系统设计中的全加器、10进制计数器、2-4译码器、摩尔状态机、2-1路选择器的源代码
卷积码编译码器的VHDL 设计
浏览:153
5星 · 资源好评率100%
由于卷积码具有较好的纠错性能,因而在通信系统中被广泛使用。采用硬件描述语言 VerilogHDL 或VHDL 和FPGA(Field Programmable Gate Array——现场可编程门阵列)进 行数字通信系统设计,可在集成度、可靠性和灵活性等方面达到比较满意的效果[1,2]。 文献[3] 以生成矩阵G=[101,111]的(2,1,3)卷积码为例,介绍了卷积码编码器的原理 和Veril
数据通路实验报告.docx
浏览:123
8位运算器VHDL 数据通路实验报告全文共13页,当前为第3页。数据通路实验报告全文共13页,当前为第3页。 数据通路实验报告全文共13页,当前为第3页。 数据通路实验报告全文共13页,当前为第3页。 数据通路实验报告...
评论
收藏
内容反馈
立即下载
资源评论
资源反馈
评论星级较低,若资源使用遇到问题可联系上传者,3个工作日内问题未解决可申请退款~
联系上传者
评论
aaat9
2018-02-27
很好,可以用
ssongmu
粉丝: 1
资源:
12
私信
上传资源 快速赚钱
我的内容管理
展开
我的资源
快来上传第一个资源
我的收益
登录查看自己的收益
我的积分
登录查看自己的积分
我的C币
登录后查看C币余额
我的收藏
我的下载
下载帮助
前往需求广场,查看用户热搜
最新资源
pycharm-community-2018.1
大数据综合技术栈图.jpg
Unity开发工具集-2.zip
5月19日 18点20分.m4a..mp3
美赛数学建模算法-使用Matlab实现元胞自动机CellularAutomata-国赛-题解.zip
美赛数学建模算法-使用Matlab实现AHP层次分析法-国赛-题解.zip
accuracy-debug.py
海兴-工商业储能PDU电气原理图(并网&标准PDU&ACAC&风冷&)(6).dwg
python学习导航.txt
SDXX+multiple optimize.zip
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功