没有合适的资源?快使用搜索试试~ 我知道了~
手机语音加密技术的FPGA芯片设计与实现
4星 · 超过85%的资源 需积分: 10 42 下载量 69 浏览量
2009-07-10
10:30:52
上传
评论 2
收藏 27KB TXT 举报
温馨提示
试读
27页
本设计采用FPGA芯片设计完成语音加密算法,实现GSM网络移动终端端到端安全通信的芯片化,实现更优的同步算法性能,研究语音平滑、滤波技术,实现相应的算法,进一步优化语音质量,减少噪声。整个算法采用VHDL语言实现。
资源推荐
资源详情
资源评论
说明:请先看文件夹中的原理框图,了解模块如何连接以及模块功能。
1、元件例化程序:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_SIGNED.ALL;
entity zong is
port( clk : in STD_LOGIC;
reset:in std_logic;
data_in1 : in STD_LOGIC_vector(15 downto 0);----------原始语音输入
data_in2:in std_logic_vector(15 downto 0); ----------解密端语音输入
n:buffer integer; ----------找同步计数信号
data_out1:out std_logic_vector(15 downto 0); ----------加密后的语音输出
data_out2:out std_logic_vector(15 downto 0) ----------解密后的语音输出
);
end zong;
architecture Behavioral of zong is
component a ---------------------------------------------------调用RAM,用来进行帧置乱
port (
addra: IN std_logic_VECTOR(12 downto 0);
addrb: IN std_logic_VECTOR(12 downto 0);
1、元件例化程序:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_SIGNED.ALL;
entity zong is
port( clk : in STD_LOGIC;
reset:in std_logic;
data_in1 : in STD_LOGIC_vector(15 downto 0);----------原始语音输入
data_in2:in std_logic_vector(15 downto 0); ----------解密端语音输入
n:buffer integer; ----------找同步计数信号
data_out1:out std_logic_vector(15 downto 0); ----------加密后的语音输出
data_out2:out std_logic_vector(15 downto 0) ----------解密后的语音输出
);
end zong;
architecture Behavioral of zong is
component a ---------------------------------------------------调用RAM,用来进行帧置乱
port (
addra: IN std_logic_VECTOR(12 downto 0);
addrb: IN std_logic_VECTOR(12 downto 0);
clka: IN std_logic;
clkb: IN std_logic;
dina: IN std_logic_VECTOR(15 downto 0);
doutb: OUT std_logic_VECTOR(15 downto 0);
wea: IN std_logic);
end component;
component b ------------------------------------------------------调用RAM,用来作为相关算法后的缓存
port (
addra: IN std_logic_VECTOR(12 downto 0);
addrb: IN std_logic_VECTOR(12 downto 0);
clka: IN std_logic;
clkb: IN std_logic;
dina: IN std_logic_VECTOR(15 downto 0);
doutb: OUT std_logic_VECTOR(15 downto 0);
wea: IN std_logic);
end component;
component c ----------------------------------------------------调用RAM,用来帧置乱的逆过程
port (
addra: IN std_logic_VECTOR(12 downto 0);
addrb: IN std_logic_VECTOR(12 downto 0);
clka: IN std_logic;
clkb: IN std_logic;
dina: IN std_logic_VECTOR(15 downto 0);
doutb: OUT std_logic_VECTOR(15 downto 0);
wea: IN std_logic);
end component;
clkb: IN std_logic;
dina: IN std_logic_VECTOR(15 downto 0);
doutb: OUT std_logic_VECTOR(15 downto 0);
wea: IN std_logic);
end component;
component b ------------------------------------------------------调用RAM,用来作为相关算法后的缓存
port (
addra: IN std_logic_VECTOR(12 downto 0);
addrb: IN std_logic_VECTOR(12 downto 0);
clka: IN std_logic;
clkb: IN std_logic;
dina: IN std_logic_VECTOR(15 downto 0);
doutb: OUT std_logic_VECTOR(15 downto 0);
wea: IN std_logic);
end component;
component c ----------------------------------------------------调用RAM,用来帧置乱的逆过程
port (
addra: IN std_logic_VECTOR(12 downto 0);
addrb: IN std_logic_VECTOR(12 downto 0);
clka: IN std_logic;
clkb: IN std_logic;
dina: IN std_logic_VECTOR(15 downto 0);
doutb: OUT std_logic_VECTOR(15 downto 0);
wea: IN std_logic);
end component;
剩余26页未读,继续阅读
资源评论
- zhanghong_wei2018-06-19随便学习一下,路过
- 钱万三2014-06-30具有研究价值 谢谢诶
- 我爱编程2012-05-14代码文件里提到的说明文档没有啊
- 举个栗子demo2013-07-15以前研究过一阵语音加密算法,学习学习用VHDL写的算法
- buptlkm2014-05-15没有说明文档,缺原理说明图,不过代码可以看看。
Jason_seu
- 粉丝: 2
- 资源: 1
上传资源 快速赚钱
- 我的内容管理 展开
- 我的资源 快来上传第一个资源
- 我的收益 登录查看自己的收益
- 我的积分 登录查看自己的积分
- 我的C币 登录后查看C币余额
- 我的收藏
- 我的下载
- 下载帮助
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功