2015.2:
* Version 5.1 (Rev. 7)
* Internal device family change, no functional changes
2015.1:
* Version 5.1 (Rev. 6)
* Updated mmcm_pll_filter_lookup and mmcm_pll_lock_lookup functions in the header file for 7-Series and UltraScale devices
* Supported devices and production status are now determined automatically, to simplify support for future devices
2014.4.1:
* Version 5.1 (Rev. 5)
* No changes
2014.4:
* Version 5.1 (Rev. 5)
* Internal device family change, no functional changes
* updates related to the source selection based on board interface for zed board
2014.3:
* Version 5.1 (Rev. 4)
* Option added to enable dynamic phase and duty cycle for resource optimization in AXI4-Lite interface
2014.2:
* Version 5.1 (Rev. 3)
* Updated for AXI4-Lite interface locked status register address and bit mapping to align with the pg065
2014.1:
* Version 5.1 (Rev. 2)
* Updated to use inverted output CLKOUTB 0-3 of Clocking Primitive based on requested 180 phase w.r.t. previous clock
* Internal device family name change, no functional changes
2013.4:
* Version 5.1 (Rev. 1)
* Added support for Ultrascale devices
* Updated Board Flow GUI to select the clock interfaces
* Fixed issue with Stub file parameter error for BUFR output driver
2013.3:
* Version 5.1
* Added AXI4-Lite interface to dynamically reconfigure MMCM/PLL
* Improved safe clock logic to remove glitches on clock outputs for odd multiples of input clock frequencies
* Fixed precision issues between displayed and actual frequencies
* Added tool tips to GUI
* Added Jitter and Phase error values to IP properties
* Added support for Cadence IES and Synopsys VCS simulators
* Reduced warnings in synthesis and simulation
* Enhanced support for IP Integrator
2013.2:
* Version 5.0 (Rev. 1)
* Fixed issue with clock constraints for multiple instances of clocking wizard
* Updated Life-Cycle status of devices
2013.1:
* Version 5.0
* Lower case ports for Verilog
* Added Safe Clock Startup and Clock Sequencing
(c) Copyright 2008 - 2015 Xilinx, Inc. All rights reserved.
This file contains confidential and proprietary information
of Xilinx, Inc. and is protected under U.S. and
international copyright and other intellectual property
laws.
DISCLAIMER
This disclaimer is not a license and does not grant any
rights to the materials distributed herewith. Except as
otherwise provided in a valid license issued to you by
Xilinx, and to the maximum extent permitted by applicable
law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
(2) Xilinx shall not be liable (whether in contract or tort,
including negligence, or under any other theory of
liability) for any loss or damage of any kind or nature
related to, arising under or in connection with these
materials, including for any direct, or any indirect,
special, incidental, or consequential loss or damage
(including loss of data, profits, goodwill, or any type of
loss or damage suffered as a result of any action brought
by a third party) even if such damage or loss was
reasonably foreseeable or Xilinx had been advised of the
possibility of the same.
CRITICAL APPLICATIONS
Xilinx products are not designed or intended to be fail-
safe, or for use in any application requiring fail-safe
performance, such as life-support or safety devices or
systems, Class III medical devices, nuclear facilities,
applications related to the deployment of airbags, or any
other applications that could lead to death, personal
injury, or severe property or environmental damage
(individually and collectively, "Critical
Applications"). Customer assumes the sole risk and
liability of any use of Xilinx products in Critical
Applications, subject only to applicable laws and
regulations governing limitations on product liability.
THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
PART OF THIS FILE AT ALL TIMES.
没有合适的资源?快使用搜索试试~ 我知道了~
资源推荐
资源详情
资源评论
收起资源包目录
基于verilog语言和vivado实现的ad9613数字采集工程 (128个子文件)
runme.bat 229B
runme.bat 229B
xadc_uart_tx_top.bit 3.65MB
xadc_uart_tx_top_routed.dcp 203KB
xadc_uart_tx_top_placed.dcp 182KB
xadc_uart_tx_top_opt.dcp 155KB
xadc_uart_tx_top.dcp 45KB
xadc_wiz_0.dcp 10KB
clk_wiz_0.dcp 10KB
vivado.jou 617B
vivado.jou 546B
vivado_6704.backup.jou 544B
vivado.jou 544B
vivado_6472.backup.jou 504B
ISEWrap.js 5KB
ISEWrap.js 5KB
rundef.js 1KB
rundef.js 1KB
runme.log 34KB
runme.log 22KB
vivado.log 2KB
xadc_uart_tx_top.mcs 10.26MB
fsm_encoding.os 498B
vivado.pb 57KB
place_design.pb 16KB
route_design.pb 10KB
opt_design.pb 5KB
init_design.pb 4KB
write_bitstream.pb 3KB
xadc_uart_tx_top_power_summary_routed.pb 676B
xadc_uart_tx_top_utilization_placed.pb 231B
xadc_uart_tx_top_utilization_synth.pb 231B
vivado.pb 129B
xadc_uart_tx_top_route_status.pb 44B
xadc_uart_tx_top_drc_routed.pb 37B
xadc_uart_tx_top_timing_summary_routed.rpt 120KB
xadc_uart_tx_top_io_placed.rpt 115KB
xadc_uart_tx_top_clock_utilization_routed.rpt 10KB
xadc_uart_tx_top_utilization_placed.rpt 10KB
xadc_uart_tx_top_power_routed.rpt 8KB
xadc_uart_tx_top_utilization_synth.rpt 7KB
xadc_uart_tx_top_control_sets_placed.rpt 5KB
xadc_uart_tx_top_drc_opted.rpt 2KB
xadc_uart_tx_top_drc_routed.rpt 2KB
xadc_uart_tx_top_route_status.rpt 651B
xadc_uart_tx_top_timing_summary_routed.rpx 92KB
.opt_design.begin.rst 189B
.place_design.begin.rst 189B
.route_design.begin.rst 189B
.write_bitstream.begin.rst 189B
.init_design.begin.rst 189B
.vivado.begin.rst 188B
.vivado.begin.rst 188B
.vivado.end.rst 0B
.Vivado_Synthesis.queue.rst 0B
.route_design.end.rst 0B
.place_design.end.rst 0B
.vivado.end.rst 0B
.write_bitstream.end.rst 0B
.Vivado_Implementation.queue.rst 0B
.opt_design.end.rst 0B
.init_design.end.rst 0B
ISEWrap.sh 2KB
ISEWrap.sh 2KB
runme.sh 1KB
runme.sh 1KB
xadc_uart_tx_top.tcl 5KB
xadc_uart_tx_top.tcl 3KB
clk_wiz_v5_1_changelog.txt 4KB
xadc_wiz_v3_1_changelog.txt 4KB
design.txt 618B
design.txt 618B
htr.txt 401B
htr.txt 393B
ug480.v 14KB
xadc_wiz_0.v 9KB
clk_wiz_0_funcsim.v 7KB
xadc_wiz_0_funcsim.v 6KB
clk_wiz_0_clk_wiz.v 6KB
xadc_uart_tx_top.v 5KB
clk_wiz_0.v 4KB
uart_tx.v 3KB
fifo.v 2KB
xadc_wiz_0_stub.v 2KB
uart_tx_top.v 2KB
clk_wiz_0_stub.v 1KB
mode_m_counter.v 1KB
xadc_uart_tx_top.vdi 22KB
xadc_uart_tx_top.vds 34KB
xadc_uart_tx_top_6472.backup.vds 26KB
xadc_wiz_0.veo 5KB
clk_wiz_0.veo 3KB
clk_wiz_0_funcsim.vhdl 8KB
xadc_wiz_0_funcsim.vhdl 6KB
xadc_wiz_0_stub.vhdl 2KB
clk_wiz_0_stub.vhdl 1KB
project.wdf 2KB
clk_wiz_0.xci 67KB
xadc_wiz_0.xci 37KB
clk_wiz_0.xdc 3KB
共 128 条
- 1
- 2
资源评论
- coolny2019-05-29这个不是AD9613的代码,是FPGA内部的XADC代码,下载要注意
emitboy
- 粉丝: 0
- 资源: 1
上传资源 快速赚钱
- 我的内容管理 展开
- 我的资源 快来上传第一个资源
- 我的收益 登录查看自己的收益
- 我的积分 登录查看自己的积分
- 我的C币 登录后查看C币余额
- 我的收藏
- 我的下载
- 下载帮助
最新资源
- mmqrcode1714153659780.png
- Screenshot_2024-04-27-06-08-58-486_com.baidu.xin.aiqicha.jpg
- 基于Javaweb+Tomcat+MySQL的大学生公寓管理系统+sql文件.zip
- 实训作业基于javaweb的订单管理系统源码+数据库+实训报告.zip
- 多机调度问题贪心算法基于最小堆和贪心算法求解多机调度问题.zip
- 基于同态加密技术的匿名电子投票系统源码.zip
- Pyqt5项目框架-PyQt项目开发实践
- 基于C通过MQTT的智能农业大棚管理系统(本科毕业设计)
- python+CNN的网络入侵检测算法源码.zip
- js 实现记住密码功能 js.cookie.min.js
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功