没有合适的资源?快使用搜索试试~
我知道了~
文库首页
课程资源
嵌入式
4选1数据选择器设计
4选1数据选择器设计
FPGA
QUARTUS
5星
· 超过95%的资源
需积分: 15
26 下载量
116 浏览量
2013-03-25
16:09:22
上传
评论
4
收藏
190KB
DOC
举报
温馨提示
立即下载
基于FPGA的数据选择器 适合VHDL初学者 内涵数据选择器详细内容
资源推荐
资源评论
四选一数据选择器实验报告.pdf
浏览:166
5星 · 资源好评率100%
四选一数据选择器实验报告.pdf
在QuartusⅡ软件中使用VHDL语言设计一个二选一数据选择器,再以该二选 一数据选择器作为底层,采用原理图方式设计一个三选一
浏览:87
5星 · 资源好评率100%
1、熟练掌握 QuartusⅡ软件的基本操作流程和设置方法。 2、熟练掌握 QuartusⅡ软件文本输入和原理图方式的操作步骤。 3、熟练掌握 QuartusⅡ软件进行仿真的方法。 4、熟练掌握 QuartusⅡ软件引脚锁定和程序下载的方法。 5、熟悉实验箱的基本资源,连接和下载测试的方法。 1、使用 VHDL 语言设计二选一数据选择器 在 QuartusⅡ软件中完成二选一数据选择器的设计输入
双4选1数据选择器74LS153.doc
浏览:11
5星 · 资源好评率100%
双4选1数据选择器74LS153.doc
双4选1数据选择器74LS153实验电路multisim源文件
浏览:20
5星 · 资源好评率100%
双4选1数据选择器74LS153实验电路multisim源文件,multisim10及以上版本可以正常打开仿真,是教材上的电路,可以直接仿真,方便大家学习。
4选1数据选择器
浏览:23
使用Labview实现4选1数据选择器,是一个简单的可供学习的labview实例
四选一数据选择器 EDA
浏览:158
5星 · 资源好评率100%
eda课程中用原理图实现四选一数据选择器,已编译成功!
quartus18.0编译仿真的四选一数据选择器
浏览:174
利用quartus18.0软件编译仿真的四选一数据选择器,含测试文件,供学习电子设计自动化(eda)的新人参考
Verilog VDL编写的四选一数据选择器报告
浏览:42
5星 · 资源好评率100%
Verilog VDL编写的四选一数据选择器报告,第一次使用Quartus 2,步骤详细,里面有附源代码。
EDA实验报告 4选1数据选择器的实现
浏览:114
5星 · 资源好评率100%
4选1数据选择器的逻辑符号如图1所示,其功能见表1。由表1可知,数据选择器在控制输入信号s1和s2的控制下,使输入数据信号a,b,c,d中的一个被选中传送到输出。s1和s2有4种组合值,由此可用CASE语句和IF语句来实现其功能。
eda实验4选1多路选择器
浏览:139
3星 · 编辑精心推荐
EDA实验课上做的四选一多路选择器,希望有帮助。我也是刚学的EDA课程
数据选择器
浏览:138
信号选通器,通过地址选通信号选择多路输入信号中的一个
4-1数据选择器.jed
浏览:120
4-1数据选择器.jed
6-4数据选择器.exe
浏览:134
6-4数据选择器.exe
四选一数据选择器和基本触发器的设计
浏览:137
5星 · 资源好评率100%
组成原理 课程设计报告 四选一数据选择器和基本触发器的设计
采用2个4选1数据选择器(74LS153)构成1个8选1数据选择器,要求自己设计电路,画出逻辑电路接线图,连接调试,并测试真值表,验证设计电路是否符合要求
浏览:190
5星 · 资源好评率100%
数据选择器的功能及应用,采用2个4选1数据选择器(74LS153)构成1个8选1数据选择器,要求自己设计电路,画出逻辑电路接线图,连接调试,并测试真值表,验证设计电路是否符合要求
四选一的选择器实现分配功能
浏览:156
它是用VHDL 语言来编写的。与MAX-PULLS 结合!
VHDL 四路数据选择器
浏览:99
4星 · 用户满意度95%
VHDL 源代码实现四路数据选择功能,输入0000时选择第一路数据
VHDL 4选一选择器
浏览:116
VHDL语言,四选一选择器试验。 entity mux41a is port(a,b:in std_logic; s1,s2,s3,s4:in std_logic; y: out std_logic); end entity mux41a; architecture one of mux41a is signal ab:std_logic_vector(1 downto 0); be
4选一_out_4选一数据选择器_
浏览:7
5星 · 资源好评率100%
VHDL实现4选1数据选择器entity mux41a is port(ab:in std_logic; s1s2s3s4:in std_logic; y: out std_logic);end entity mux41a;
四选一多路选择器vhdl程序代码
浏览:109
四选一多路选择器vhdl程序代码,利用max+plus软件进行仿真,课堂上的代码,亲测成功。
可编程硬件描述语言VHDL Quartus四选一电路源代码.pdf
浏览:175
可编程硬件描述语言VHDL Quartus四选一电路源代码.pdf
4选1数据选择器扩展为8选一数据选择器
浏览:145
基于Multisim14制作的4选1数据选择器扩展为8选一数据选择器仿真图
verilog实现四选一片选功能
浏览:41
仪器设备:逻辑设计与FPGA实验仪一套 装有ISE的PC机一台
4选1数据选择器.pdf
浏览:188
4选1数据选择器.pdf
实验3_数据选择器1
浏览:56
1. 新建 ISE 工程 2. 编写模块代码 3. 对代码进行行为仿真以及购房真 4.完成选择器的下板
verilog数据选择器
浏览:92
在ISE平台上使用verilog编写的数据选择器源代码; 在ISE平台上使用verilog编写的数据选择器源代码;
FPGA 选数据选择器
浏览:8
FPGA 选数据选择器
FPGA 数据选择器
浏览:134
FPGA 数据选择器
评论
收藏
内容反馈
立即下载
资源评论
资源反馈
评论星级较低,若资源使用遇到问题可联系上传者,3个工作日内问题未解决可申请退款~
联系上传者
评论
满天星S
2013-06-10
看懂了,谢谢
凹凸曼de小怪兽
粉丝: 0
资源:
1
私信
上传资源 快速赚钱
我的内容管理
展开
我的资源
快来上传第一个资源
我的收益
登录查看自己的收益
我的积分
登录查看自己的积分
我的C币
登录后查看C币余额
我的收藏
我的下载
下载帮助
前往需求广场,查看用户热搜
最新资源
OFDM 的鲁棒频率和定时同步论文
Unity中的ConsolePro插件
camera view 1.0.0.unitypackage
压力测试撒大撒大撒大撒
图像视频的车牌检测系统
Matlab Traffic ToolBox
包含全桥变压器计算过程
DB2数据库单机部署安装
Suno AI 音乐下载工具
QT实现的证券盘口信息界面
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功