quartusII调用ModelSim仿真[归纳].pdf
2.虚拟产品一经售出概不退款(资源遇到问题,请及时私信上传者)
Quartus II 调用 ModelSim 仿真 Quartus II 是 Altera 公司的一款 FPGA 设计和开发工具,ModelSim 是一款功能强大且广泛应用于 FPGA 仿真的仿真工具。 Quartus II 调用 ModelSim 仿真是 FPGA 设计和开发中的一种常见应用场景。本文将详细介绍如何在 Quartus II 中调用 ModelSim 进行仿真。 需要新建一个工程,例如 modelsim_test,並编写一个简单的二分频电路,如下所示: module modelsim_test(clk, rst_n, div); input clk; // 系统时钟 input rst_n; // 复位信号,低有效 output div; // 2 分频信号 reg div; always@(posedge clk or negedge rst_n) if (!rst_n) div <= 1'b0; else div <= ~div; endmodule 接下来,需要在 Quartus II 中设置 ModelSim 仿真环境。在 Assignments > EDA Tool Settings 中双击“EDA tools”下的“Simulation”选项,弹出如下界面: 点击 Tool name 最右侧的下拉条,选择“ModelSim”,并在弹出的界面中进行设置。 设置完成后,重新编译工程。打开工程目录,看到多了一个“simulation”文件夹,打开该文件夹下的“modelsim”文件夹,看到有三个文件,其中 .vo 文件就是我们的代码布局布线信息。 为了进行仿真,还需要添加一些文件。打开“C:\altera\70\quartus\eda\sim_lib”(具体 Quartus 软件安装文件的根目录视您的实际情况而定,大体路径都一样),因为我们用的是 MAX II 器件,所以把“maxii_atoms.v”文件拷贝到 3 中提到的目录下,这个文件是仿真元件库。 接下来,需要编写一个工程 RTL 源码的 Testbench 文件,用于作为仿真激励。例如: module vtf_test; // Inputs reg clk; reg rst_n; // Output wire div; modelsim_test u1 (.clk(clk), .rst_n(rst_n), .div(div)); initial begin clk = 0; forever #10 clk =~clk; // 产生 50MHz 的时钟 end initial begin rst_n = 0; #1000 rst_n = 1; // 上电后 1us 复位信号 #1000; $stop; end endmodule 将该文件命名为 tb_test.v,保存到 3 提到的文件夹下。 然后,在 Workspace 的 Library 面板的空白处单击右键,选择“New” > “Library”,在新弹出界面中进行设置,然后点击“OK”。 启动 ModelSim 软件,点击菜单栏的 Compile > Compile 命令,弹出如下界面: Library 选择刚才新建的“work_test”,查找范围定位到我们 3 提到的文件夹下。全选在该目录下可以看到的文件,点击 Compile(注意该文件夹必须在全英文 / 数字路径下,否则会出错无法编译)。 我们回到 Workspace 的 Library 面板,work_test 多处了下拉框,点击后如下: 我们右键单击 vtf_test 文件,在弹出的菜单中选择 Simulation。 执行上面的操作后,软件自动弹出 Workspace 的 Sim 面板如下: 在 vtf_test 栏单击右键,在弹出菜单中选择 Add > Add to Wave。然后软件将弹出波形窗口。 波形窗口如下: 这时我们点击全速编译(一次不行,多点一次,工具栏倒数第三个按钮),然后点击观看全部波形(放大缩小按钮后面一个蓝色按钮)。 仿真结果如下: 可以看到 div 信号确实是 clk 的二分频。呵呵,基本的操作就到此结束了。ModelSim 具体的功能使用方面有待大家慢慢琢磨,很容易上手的,大家加油!
- 粉丝: 2
- 资源: 12万+
- 我的内容管理 展开
- 我的资源 快来上传第一个资源
- 我的收益 登录查看自己的收益
- 我的积分 登录查看自己的积分
- 我的C币 登录后查看C币余额
- 我的收藏
- 我的下载
- 下载帮助
最新资源
- 家庭用具检测15-YOLO(v8至v11)数据集合集.rar
- deploy.yaml
- PHP快速排序算法实现与优化
- 2023-04-06-项目笔记 - 第三百五十五阶段 - 4.4.2.353全局变量的作用域-353 -2025.12.22
- 2023-04-06-项目笔记 - 第三百五十五阶段 - 4.4.2.353全局变量的作用域-353 -2025.12.22
- pdfjs2.5.207和4.9.155
- 认识小动物-教案反思.docx
- csi-driver-nfs
- 冒泡排序算法详解及Java与Python实现
- 字幕网页文字检测20-YOLO(v5至v11)、COCO、CreateML、Paligemma、TFRecord、VOC数据集合集.rar