基于FPGA的rgb转化为ycrcb的颜色模型转化算法,verilog编程实现,带testbench+含代码操作演示视频

preview
共340个文件
sdb:90个
log:25个
xml:24个
版权申诉
0 下载量 120 浏览量 2022-05-18 03:24:42 上传 评论 2 收藏 29.43MB RAR 举报
fpga和matlab
  • 粉丝: 15w+
  • 资源: 2548
上传资源 快速赚钱
voice
center-task 前往需求广场,查看用户热搜