1
•
VHDL 的运算操作符:
•
属性:
•
用户自定义属性
•
操作符扩展
•
通用属性语句
•
例子
第四章 运算操作符和属性
2
4.1 运算操作符
6 种预定义的运算操作符:
赋值运算符;
逻辑运算符;
算术运算符;
关系运算符;
移位运算符;
并置运算符;
3
例:
signal x: std_logic; variable y: std_logic_vector(3 downto 0);
signal w: std_logic_vector(0 TO 7);
x<=‘1’; ---- 通过 <= 将‘ 1’ 赋给信号 x ;
y:=“0000”; ---- 通过: = 将值“ 0000” 赋给变量 y ;
w1<=(0=>’1’, OTHERS=>’0’); -- 信号 w1 的赋值;
w2<=(OTHERS=>’0’); -- 信号 w2 的赋值;
赋值运算符
4
逻辑运算符
高运算优先级
低运算优先级
5
注意:书上的“可综合”是指 EDA 工具的工艺库(如 IP 库、基本器
件库)中是否直接包含相应的功能模块或器件,如果包含,则称
为可综合,否则就称为不可综合。对于某些运算功能,理论上是
可以用电路实现的,但代价太大,不常使用,因此工艺库中一般
就不包含这些功能器件,在这里就称为不可综合。 另外,可综
合的电路必须是规格固定的。
算术运算符