基于ep4ce6e cyclone4 FPGA设计数码管动态扫描显示Verilog源码Quartus18.0工程文件.zip

preview
共120个文件
cdb:13个
hdb:13个
ddb:7个
版权申诉
0 下载量 131 浏览量 2024-04-23 05:58:43 上传 评论 收藏 3.5MB ZIP 举报
GJZGRB
  • 粉丝: 2672
  • 资源: 7589
上传资源 快速赚钱
voice
center-task 前往需求广场,查看用户热搜