library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library NDI_Enc; use NDI_Enc.NDI_Pkg.all; component Enc_Core_E is generic ( CORE_ID : integer := 0; DEBUG : integer := 0; AVL_ADDR_WIDTH : integer := 32; AVL_BURST_WIDTH : integer := 8 ); port ( -- Register interface reg_clk : in std_logic; reg_reset : in std_logic; reg_irq : out std_logic; reg_irq_ena : out std_logic; RegWr_addr : in unsigned(5 downto 0); RegWr_data : in DWORD_T; RegWr_we : in std_logic; RegWr_be : in std_logic_vector(3 downto 0); RegRd_addr : in unsigned(5 downto 0); RegRd_data : out DWORD_T; RegRd_rden : in std_logic; -- NDI interface ndi_clk : in std_logic; ndi_rst : in std_logic; -- Read Interface (Uncompressed Video) vidr_wait_n : in std_logic; vidr_addr : out std_logic_vector(AVL_ADDR_WIDTH - 1 downto 0); vidr_rvalid : in std_logic; vidr_rdata : in QWORD_T; vidr_read : out std_logic; vidr_burstcount : out std_logic_vector(AVL_BURST_WIDTH - 1 downto 0); vidr_byteenable : out std_logic_vector(7 downto 0); -- Write Interface (Compressed NDI) ndiw_wait_n : in std_logic; ndiw_addr : out std_logic_vector(AVL_ADDR_WIDTH - 1 downto 0); ndiw_wdata : out QWORD_T; ndiw_write : out std_logic; ndiw_burstcount : out std_logic_vector(AVL_BURST_WIDTH - 1 downto 0); ndiw_byteenable : out std_logic_vector(7 downto 0) ); end component;
- 1
- AngryNoob2021-10-14这是什么鬼
- 粉丝: 4
- 资源: 15
- 我的内容管理 展开
- 我的资源 快来上传第一个资源
- 我的收益 登录查看自己的收益
- 我的积分 登录查看自己的积分
- 我的C币 登录后查看C币余额
- 我的收藏
- 我的下载
- 下载帮助
最新资源
- 纯python3和numpy实现的符号计算深度学习框架.zip
- 微信小程序 - 涂鸦源码.zip
- 基于C# winform+mysql人事工资管理系统源码+sql文件+运行说明.zip
- 基于Java web搭建的一个简易博客网站源码.rar
- 基于Java web搭建的一个简易博客网站源码.zip
- 基于C#+MySql实现的药品销售库存信息管理系统源码+数据库+项目说明.zip
- mysql 5.7.15 安装配置方法图文教程.zip
- 基于springboot websocket 定制,主要完成的功能是WebSocket的状态管理,具备单机和集群能力.zip
- SpringBoot框架示例:整合SpringMVC、MyBatis、安全框架Shiro、页面布局框架Sitemesh.zip
- SpringBoot集成thumbnailator图片压缩.zip