• 基于FPGA的2FSK调制器的实现

    课程设计,利用DE2开发板实现基于FPGA的2FSK调制器,2FSK信号是用载波频率的变化来表征被传信息的状态的,被调载波的频率随二进制序列0、1状态而变化,即载频为 时代表传0,载频为 时代表传1。显然,2FSK信号完全可以看成两个分别以 和 为载频、以 和 为被传二进制序列的两种2ASK信号的合成。2FSK信号的典型时域波形如图1所示,其一般时域数学表达式

    0
    279
    988KB
    2018-04-07
    38
关注 私信
上传资源赚积分or赚钱