• aldec active HDL 培训全套资料文档和例子2

    aldec active HDL 培训全套资料文档和例子,aldec active HDL 一个类似于modelsim的仿真工具,其在生成和查看状态机图,代码含概率,波形比较独具特色,可以和ISE等FPGA等工具无缝的连接。

    4
    222
    22.06MB
    2013-08-25
    14
  • aldec active HDL 培训资料文档和例子1

    aldec active HDL 培训资料文档和例子,aldec active HDL 一个类似于modelsim的仿真工具,其在生成和查看状态机图,代码含概率,波形比较独具特色,可以和ISE等FPGA等工具无缝的连接。资料较大这个是第一部分,总共两个部分。

    5
    191
    26.13MB
    2013-08-25
    14
  • aldec alint 学习资料

    aldec alint 是一个设计规则的设定与检查的工具,用于规范FPGA的代码编写

    5
    833
    4.92MB
    2013-08-25
    50
  • aldec active 9.x基本使用说明

    aldec active 9.x基本使用说明,此软件类于modelsim 仿真工具,对于其波形比较,调用FPGA开放工具的例如ISE的综合工具很强大。

    0
    146
    584KB
    2013-08-25
    33
  • 基于FPGA实现高速专用数字下变频器

    这是一篇经典的数字下变频的硕士论文,希望对你有用。

    5
    114
    4.81MB
    2013-01-11
    10
  • 基于xlinx FPGA的简单DDS 正弦波 和方波发生器

    基于xlinx FPGA的简单采用DDS的方法 产生正弦波 ,本程序利用分频的方式可以产生1-25M任意频率的方波信号

    4
    1925
    3.82MB
    2011-10-31
    50
  • 基于Xlinx SP605 的PCIE开发总结

    基于Xlinx SP605 的PCIE开发总结

    4
    107
    1.63MB
    2011-10-31
    9
  • usb技术标准和usb鼠标设计资料

    usb技术标准和usb鼠标设计资料原代码和电路图

    0
    58
    582KB
    2008-10-20
    9
  • usb2.0技术标准

    usb2.0技术标准和usb鼠标设计资料

    0
    39
    1.03MB
    2008-10-20
    0
  • 分享达人

    成功上传6个资源即可获取
关注 私信
上传资源赚积分or赚钱