• Verilog乘法器代码

    Verilog开发的乘法器代码,可以实现两个8位无符号数的乘法运算。仿真通过

    5
    1496
    1020B
    2014-08-30
    43
上传资源赚积分or赚钱