• EDA 设计初步

    软件:Quartus II 10.0 + ModelSim-Altera 6.5e (Quartus II 10.0) Starter Edition

    0
    46
    1.08MB
    2013-08-14
    0
关注 私信
上传资源赚积分or赚钱