• EDA技术分频器程序设计

    ⑴使用EDA实验箱上的2Hz脉冲,进行2分频(占空比为1:2),通过波形仿真和观测实验箱上输出指示模块中的OUT1红色LED(发光二极管)的亮灭时间来验证是否符合设计要求。 ⑵使用EDA实验箱上的10Hz脉冲,进行10分频(占空比为1:2),通过波形仿真和观测实验箱上输出指示模块中的OUT1红色LED的亮灭时间来验证是否符合设计要求。 ⑶使用EDA实验箱上的5Hz脉冲,进行5分频(占空比为2:5),通过波形仿真和观测实验箱上输出指示模块中的OUT1红色LED的亮灭时间来验证是否符合设计要求。

    5
    728
    813KB
    2009-05-25
    33
  • EDA技术数据选择器电路设计

    1、数据选择器的工作原理。 2、基于FPGA和EDA软件的数字电路设计方法与工作流程 3、使用VHDL设计数据选择器的方法。 4、VHDL相关语法知识 5、EDA实验开发系统相关知识。 6、在EDA软件( Max+PlusII软件)平台上VHDL程序的功能仿真的方法。

    0
    187
    602KB
    2009-05-25
    15
  • EDA技术,跑马灯源程序

    彩灯从右到左依次闪烁,然后从右到左点亮..........

    0
    298
    3KB
    2009-05-23
    15
关注 私信
上传资源赚积分or赚钱