• 2.4G天线封装库

    2.4G天线封装库. 可以直接用

    1
    185
    12KB
    2016-12-01
    10
  • 防护电路设计规范-华为

    防护电路设计规范-华为

    5
    144
    698KB
    2016-05-26
    17
  • 智能芯片摄像机.

    智能芯片摄像机.

    0
    39
    58KB
    2014-03-28
    0
  • 智慧城市方案

    有助于帮组你解决系统方案,主要文档解决,以及相关代码

    3
    40
    6.8MB
    2014-03-28
    10
  • 北斗导航方案

    北斗导航GPS出租车单位车辆监控管理系统可行性方案

    5
    201
    1.53MB
    2012-12-21
    11
  • 数字电路知识

    数字电路知识 源代码`timescale 1ns/1ns module computer(clk,reset,clk1,clk2,clk4,fetch,alu_clk); input clk,reset; output clk1,clk2,clk4,

    0
    23
    130KB
    2012-12-21
    0
  • fpga课件科技

    `timescale 1ns/1ns module counter_tb; reg [7:0] data; reg load; reg clk; reg reset; wire [7:0] out; counter U_counter( .out(out), .data(data), .load(load), .reset(reset), .clk(clk) ); initial begin data =7'b0; load =1'b0; clk = 1'b0; reset = 1'b1; #1 reset=1'b0; #1 reset=1'b1; #10000 load = 1'b1; #1000 load = 1'b0; #10000 $stop; end always # 5 clk <= ~clk; endmodule

    0
    37
    20.09MB
    2012-10-23
    10
  • 分享达人

    成功上传6个资源即可获取
关注 私信
上传资源赚积分or赚钱