• 101序列检测的verilog代码,带testbench

    verilog入门,自己跟着资料上写了一个101序列检测器,全部手敲的,顺便尝试第一次投稿!

    0
    463
    1KB
    2022-04-04
    1
  • 分享学徒

    成功上传1个资源即可获取
关注 私信
上传资源赚积分or赚钱