• UART RX verilog

    将串行UART协议数据转换成8bit数据,接收数据带1bit起始位、8bit数据、1bit偶校验位、1bit停止位。 通过系统时钟、DIVNUM(分频系数)调整波特率

    0
    203
    5KB
    2020-04-16
    10
  • 分享学徒

    成功上传1个资源即可获取
关注 私信
上传资源赚积分or赚钱