• 基于VHDL的交通灯控制器设计

    用vhdl语言描绘的交通灯控制器的设计 --交通灯控制芯片 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all;

    0
    179
    203KB
    2010-06-02
    10
  • EDA课程设计(内含多种设计方案)

    FPGA/CPLD课程设计 The Course Design of Electronic Design Automation

    0
    33
    135KB
    2010-06-02
    1
  • eda课程设计 交通灯设计

    vhdl初学者可以参考下 1.编写交通灯信号控制器的VHDL描述程序。 2.在maxplusⅡ或者QuartusⅡ上对编码器进行编辑、编译、综合、适配、仿真。给出功能和时序仿真波形。 3.将输入引脚连接到拨码开关,输出连接到发光二极管,下载后在实验板上验证其功能,记录实验结果。

    5
    448
    879KB
    2010-06-02
    16
  • 分享小兵

    成功上传3个资源即可获取
关注 私信
上传资源赚积分or赚钱