![](https://csdnimg.cn/release/downloadcmsfe/public/img/no-data.51c5211b.png)
![](https://csdnimg.cn/release/downloadcmsfe/public/img/good-course.ff455529.png)
- 2022年2月CAD字体上传下载分享CAD大小:84BCAD字体,文件较大1.27G。CAD字体,文件较大1.27G。
0 754浏览
会员免费 - VB mmcontrol控件如何连续播放音乐文件.txtVB语言大小:5KBVB mmcontrol控件如何连续播放音乐文件.txtVB mmcontrol控件如何连续播放音乐文件.txt
0 28浏览
¥ 39.90 - 用VB编程序设计一个简易的计算器.txtc++大小:72KB用VB编程序设计一个简易的计算器.txt用VB编程序设计一个简易的计算器.txt
0 43浏览
会员免费 - beanshell取样器自动判断linux还是windows系统linux大小:2KBjmeter,beanshell取样器自动判断linux还是windows系统 1、如果是linux 则自动按照linux路径分隔符参数化linux路径 2、如果是windows,则按照windows路径分隔符参数化路径 3、自动获取jmeter安装路径 4、将数据自动写入jmeter安装路径下的某个文件夹下jmeter,beanshell取样器自动判断linux还是windows系统 1、如果是linux 则自动按照linux路径分隔符参数化linux路径 2、如果是windows,则按照windows路径分隔符参数化路径 3、自动获取jmeter安装路径 4、将数据自动写入jmeter安装路径下的某个文件夹下
1 136浏览
会员免费 - 性能测试工具loadrunner 2021 社区版loadrunner2021大小:121B性能测试工具loadrunner 2021 安装教程:https://blog.csdn.net/qq_34811731/article/details/121818881性能测试工具loadrunner 2021 安装教程:https://blog.csdn.net/qq_34811731/article/details/121818881
5 3357浏览
会员免费 - sonarqube安装手册-windows版本.txtsonar大小:3KBsonarqube安装手册-windows版本.txtsonarqube安装手册-windows版本.txt
0 151浏览
会员免费 - Delphi提取PDF文本.txtDelphi提取PDF文本大小:3KBDelphi提取PDF文本.txtDelphi提取PDF文本.txt
0 312浏览
会员免费 - 接口自动化配置Jenkins发送测试报告,allure测试报告邮件模板allure报告邮件模板大小:1KBallure测试报告邮件模板allure测试报告邮件模板
5 1640浏览
会员免费 - Quoit Design(最近点对+分治).txtTXT大小:45BQuoit Design(最近点对+分治)Quoit Design(最近点对+分治)
0 126浏览
会员免费 - 电商日志weblogs_rebuild.txt电商日志大小:74MB电商日志-用于测试和实验电商日志-用于测试和实验
0 561浏览
会员免费 - ECShop搭建测试环境指南(Docker平台).txtecshop大小:3KBECShop搭建测试环境指南(Docker平台).txtECShop搭建测试环境指南(Docker平台).txt
0 313浏览
会员免费 - 测试开发工程师,2020年霍格沃兹测试开发12期视频测试工程师大小:468B最新2020年测试开发工程师 整套视频及配套代码,百度云资源,下载之后记得过来给好评,谢谢最新2020年测试开发工程师 整套视频及配套代码,百度云资源,下载之后记得过来给好评,谢谢
5 3310浏览
会员免费 - 疫情期间十几家面试的总结.txt软件测试面试大小:11KB软件测试面试题必看,面试经验集合软件测试面试题必看,面试经验集合
0 264浏览
¥ 4.90 - Python接口自动化.txt1、接口自动化框架搭建 2、unnitest使用 3、requests相关库使用 4、接口异常处理 5、接口测试框架中数据库相关操作 6、获取cookies 7、上下游关联数据处理 8、持续集成
0 223浏览
¥ 19.90 - Appium移动端自动化全套视频.txt包含Appium第一到第四套及Android 专项测试 Python篇
5 168浏览
¥ 14.90 - 禅道sql统计.txt1.测试人员发现有效bug数排行。条件:时间 2.研发人员发生bug数排行。条件:时间
0 944浏览
会员免费 - 关于繁体系统下如何查看大漠接口文档.txt繁体系统说明
0 70浏览
会员免费 - 软件评测师视频+真题百度网盘下载软件评测师视频+真题
0 754浏览
会员免费 - Python3.6.4+Selenium自动化框架搭建framework大小:4KBPython3.6.4+Selenium自动化框架搭建,Windows平台安装,python3.6.4环境配置,通过pip安装Robot Framework自动化测试框架,框架特性、安装步骤、基础知识介绍Python3.6.4+Selenium自动化框架搭建,Windows平台安装,python3.6.4环境配置,通过pip安装Robot Framework自动化测试框架,框架特性、安装步骤、基础知识介绍
0 623浏览
会员免费 - spark-2.0.0-bin-hadoop2.6.tgz本资源是spark-2.0.0-bin-hadoop2.6.tgz百度网盘资源下载,本资源是spark-2.0.0-bin-hadoop2.6.tgz百度网盘资源下载
0 1036浏览
会员免费 - hadoop-2.6.4.tar本资源是hadoop-2.6.4 linux版本,用百度网盘下载,本资源是hadoop-2.6.4 linux版本,用百度网盘下载,
0 199浏览
会员免费 - opencv_341.txt编译好的opencv341 加上了contrib 解压之后简单配置就能使用,下载的连接在文档中,配置的简易教程在文档里也有。注意使用的是vs2017
0 49浏览
会员免费 - 华硕ASUS TUF Z390 主板驱动华硕ASUS TUF Z390 主板驱动 光盘文件 解决主板驱动问题,百度云链接,资源大小1.5g左右
0 111浏览
会员免费 - 华硕ASUS TUF B460M-PLUS 主板驱动华硕ASUS TUF B460M-PLUS 主板驱动 光盘文件 解决主板驱动问题,百度云链接,资源大小1.2g左右
0 4425浏览
会员免费 - jmeter连接数据库.txtjmeter连接数据库大小:891Bjmeter连接数据库jmeter连接数据库
0 283浏览
会员免费 - jmeter笔记2.txtjmeter笔记2.txt
0 92浏览
会员免费 - jmeter笔记.txtjmeter笔记大小:2KBjmeter笔记.txtjmeter笔记.txt
0 121浏览
会员免费 - Charles主要的功能.txtCharles主要的功能大小:1KBCharles主要的功能.txtCharles主要的功能.txt
0 107浏览
会员免费 - 接口测试笔记.txt接口测试笔记.txt
0 2464浏览
会员免费 - 计算器`timescale 1ns / 1ps module flow( clk, reset, led ); input clk; input reset; output [3:0] led; reg [3:0] led; reg [11:0] counter; //计数器 reg [2:0] state; //状态控制 //计数器 always @(posedge clk or negedge reset) begin if(!reset) begin counter <= 12'd0; end else if ( counter == 12'd100) begin counter <= 12'd0; end else begin counter <= counter + 12'd1; end end //状态跳转,通过计数器的计数值决定 always @(posedge clk or negedge reset) begin if(!reset) begin state <= 3'd0; end else if( counter < 12 xss=removed>= 12'd20 && counter < 12 xss=removed>= 12'd40 && counter < 12 xss=removed>= 12'd60 && counter < 12 xss=removed>= 12'd80 && counter < 12'd100 ) state <= 3'd4; end //led状态控制 always @(posedge clk or negedge reset) begin if(!reset) begin led <= 4'b1111; end else begin case(state) 3'd0:led <= 4'b1110; //led低电平时点亮,默认状态为灭 3'd1:led <= 4'b1101; //以下为依次点亮各灯 3'd2:led <= 4'b1011; 3'd3:led <= 4'b0111; 3'd4:led <= 4'b1111; default:led <= 4'b1111; endcase end end endmodule `timescale 1ns / 1ps module test; reg clk; reg reset; wire [3:0] led; initial begin clk = 1'b0; reset = 1'b0; repeat(10) @(posedge clk); reset = 1'b1; repeat(1000) @(posedge clk); $finish; end always #10 clk = ~clk; flow u0 ( .clk(clk), .reset(reset), .led(led) ); endmodule
0 292浏览
会员免费 - Editplus各类stx本资源包含了editplus的各种配置文件的下载链接,如stx、acp、ctl等,可以自行下载配置,主要提供免费下载渠道,欢迎讨论
0 219浏览
会员免费 - 荧光指针链接.txt原XP系统洋葱头骑士的荧光指针 喜欢的可以拿走 找了好久
0 63浏览
会员免费 - Zemax2013软件链接+安装教程.txtZemax2013下载链接+安装教程,光学设计仿真软件,适合光学设计、光学镜头设计专业学生或工作人员应用。
1 2367浏览
会员免费 - 微信小程序测试实战(用例,接口测试).txt这是一套完整的小程序测试课程,从用例编写,功能梳理,业务整理到接口测试的一整套体系结构。课程非常适合测试提高人员,目前在测试小程序人员,提高自己的业务,接口能力,增强个人水平。
0 6678浏览
会员免费 - LS74181.txt实现LS74181的verilog文件,可用于quartus进行LS74181的模块编写验证,即可由其进行波形仿真等复杂性操作。
0 82浏览
会员免费 - 测试2.15.txt黑盒测试是动态测试,请分析动态白盒测试与黑盒测试的区别。 黑盒测试是动态测试,请分析动态白盒测试与黑盒测试的区别。
0 105浏览
会员免费 - 测试2.14.txt你认为应如何对一个软件实施测试,试结合你所参与过的软件项目,阐述软件测试工作的一般过程。 你认为应如何对一个软件实施测试,试结合你所参与过的软件项目,阐述软件测试工作的一般过程。
0 174浏览
会员免费 - 测试2.13.txt试对比分析黑盒测试、白盒测试各自的优缺点。 试对比分析黑盒测试、白盒测试各自的优缺点。 试对比分析黑盒测试、白盒测试各自的优缺点。
0 118浏览
会员免费 - 测试2.12.txt12(4分) 请分析黑盒测试、白盒测试、静态测试、动态测试之间的关系。 12(4分) 请分析黑盒测试、白盒测试、静态测试、动态测试之间的关系。
0 107浏览
会员免费 - 测试作业1.2.txt慕课金陵科技学院.软件质量保证与测试.第一章.绪论.课后作业 慕课金陵科技学院.软件质量保证与测试.第一章.绪论.课后作业 慕课金陵科技学院.软件质量保证与测试.第一章.绪论.课后作业 慕课金陵科技学院.软件质量保证与测试.第一章.绪论.课后作业
0 717浏览
会员免费 - 测试作业1.1.txt慕课金陵科技学院.软件质量保证与测试.第一章.绪论.课后作业 慕课金陵科技学院.软件质量保证与测试.第一章.绪论.课后作业 慕课金陵科技学院.软件质量保证与测试.第一章.绪论.课后作业 慕课金陵科技学院.软件质量保证与测试.第一章.绪论.课后作业
0 713浏览
会员免费 - appium教程链接appium 是一个自动化测试开源工具,支持 iOS 平台和 Android 平台上的原生应用,web应用和混合应用。appium是一个跨平台的工具:它允许测试人员在不同的平台(iOS,Android)使用同一套API来写自动化测试脚本,这样大大增加了iOS和Android测试套件间代码的复用性。 该资源主要为appium的视频教程
0 128浏览
会员免费 - oracle11g和plsql下载地址oracle11g和plsql的安装包我已经上传到我自己的百度云盘了,需要的可以下载,积分很少,可自行下载,如果没有积分,可以联系我呀,点击头像
0 105浏览
会员免费 - Intouch与仿真PLC模拟通讯.txtIntouch与仿真PLC模拟通讯,具体设置及操作步骤等,可实现没有实际PLC的情况下,测试Intouch程序的准确性
0 116浏览
会员免费 - jmeter接口自动化测试.txtJmeter+Ant+Jenkins接口自动化测试视频 1、Jmeter接口测试 2、Jmeter配置原件使用 3、Jmeter+Ant+Jenkins配合搭建集成接口自动化测试
0 594浏览
会员免费 - QGIS安装包,快速下载.txtQGIS是一款gis领域内比较热门的开源软件,但是在官网上下载实在是太慢了。此次安装包版本为 QGIS-OSGeo4W-3.4.12-1-Setup-x86_64.exe
5 3176浏览
会员免费 - 交通流量数据机器学习专用mooc机器学习岭回归交通流量数据,2万多条数据,主要有ID,HR等交通流量相关属性,适合学习无监督机器学习,用于很多机器学习相关的分类算法
0 178浏览
会员免费 - 从零上手全面精通JMeter压力测试.txt软件测试大小:2KB本课程环境基于JDK1.8,最新版JmeterV5.1.1,课程从基础讲起,以Jmeter为中心给大家介绍了最最常用的性能测试的工具,通过对不同类型的系统进行性能测试,了解性能测试在真实项目中的意义,最后通过测试加压来观察和分析系统的瓶颈。每个知识点通过示例演练讲解理论实践结合,更容易理解,就算是小白也能接受。本课程环境基于JDK1.8,最新版JmeterV5.1.1,课程从基础讲起,以Jmeter为中心给大家介绍了最最常用的性能测试的工具,通过对不同类型的系统进行性能测试,了解性能测试在真实项目中的意义,最后通过测试加压来观察和分析系统的瓶颈。每个知识点通过示例演练讲解理论实践结合,更容易理解,就算是小白也能接受。
0 364浏览
会员免费 - 测试经典-杯子用例.txt介绍经典测试用例-杯子用例。具体举例,包括测试数据、期望输出等。 若你想成为优秀的测试项目管理者,你就反思如下内容是否做到 若你还想往上发展,就不断地在项目中锻炼自己的同时,让自己多关注技术、管理和行业,缺哪个补哪个。
0 1262浏览
会员免费 - jmeter学习视频,jmeter视频jmeter学习视频,jmeter视频,从安装开始到进阶的全套视频,良心
0 542浏览
会员免费 - APPIUM整套资料百度网盘链接.txtAPPIUM包括电子书视屏和APPIUM各个版本的安装包APPIUM包括电子书视屏和APPIUM各个版本的安装包
0 678浏览
会员免费 - Loadrunner最新版12.6安装包下载Loadrunner最新版安装包下载,注意是百度云下载,最新版是12.6的社区版,节省你去官网注册各种发邮件的步骤
1 6659浏览
会员免费 - LoadRunner12.6汉化补丁.txtloadrunner12.6支持高版本Chrome浏览器、支持windows10、windows7操作系统、支持IE10、11,支持JDK10
0 574浏览
会员免费 - jmeter整理教程jmeter是一款方便快捷的测试工具,本篇主要提供了jmeter的应用,课程三套视频和一套整理好的资料,希望对大家有帮助,谢谢!
0 60浏览
会员免费 - tomcat 8.5tomcat8.5 ,部署服务,前端界面等,本人自己使用的包,绝对保证可以使用
0 60浏览
会员免费 - 软件评测师.txt软件评测师全套复习资料,包含视频和最新的理念真题详解,到2018年,还有考点分析,以及个人的笔记。
0 456浏览
会员免费 - VM虚拟机镜像集合windowsxp ,windows 7,windows 8,linuxVM虚拟机镜像集合windowsxp ,windows 7,windows 8,linux
1 1w+浏览
会员免费 - 整套全部教程软件测试教程.txt软件教学视频,整套的,适合初学者,工作使用,大学学生使用, 非常不错的,很专业,资料描述必须要 50个字,抱歉我语无伦次,欧耶!
0 422浏览
会员免费 - jmeter性能测试入门到精通视频本文档包括jmeter视频,从入门到基础以及后续的整理的测试均有详细的描述以及讲解
3 797浏览
会员免费 - CAD命令快捷键.txtCAD大小:5KBcad命令快捷键 包含了个人整理的cad快捷键命令 多数快捷键命令均在内cad命令快捷键 包含了个人整理的cad快捷键命令 多数快捷键命令均在内
0 139浏览
会员免费