Mutilsim 设计一个串行数据检测电路. 当连续出现4个和4个以上的1时, 检测输出信号为1, 其余情况下的输出信号为0

preview
共5个文件
ms14:5个
版权申诉
0 下载量 169 浏览量 2022-03-10 19:13:40 上传 评论 收藏 572KB ZIP 举报