没有合适的资源?快使用搜索试试~
我知道了~
文库首页
开发技术
硬件开发
基于CMX469A的FFSK调制解调器设计与应用
基于CMX469A的FFSK调制解调器设计与应用
4星
· 超过85%的资源
需积分: 11
28 下载量
39 浏览量
2011-03-10
16:47:58
上传
评论
收藏
561KB
PDF
举报
温馨提示
立即下载
介绍了基于CMX469芯片的对于FFSK信号的调制解调技术,CMX469是全双工的MSK,FFSK调制解调芯片
资源推荐
资源评论
2FSK调制解调器的设计
浏览:138
3星 · 编辑精心推荐
对于2FSK,调制就是把输入数字序列变成适合于信道传输的正弦波。产生 正弦波有差分迭代法、泰勒级数法、查表法等多种方法。查表法虽然要占用较多 的存储空间,但速度快,实时性好,特别适用于通信载波的生成。但是查表法对 于后期解调来说稍微困难,因此我们用计算法(差分迭代)产生不同频率正弦波。 本书旨在DSP设计2FSK调制解调器,C语言,包含CCS下的编译调试
通信与网络中的基于CMX469A的无线MODEM设计
浏览:44
摘要:CMX469A是CML公司推出的FFSK/MSK全双工MODEM芯片,它内部集成了载波检测、RX时钟恢复电路和振荡电路,并具有很好的信噪比以及低电压、低功耗等特性,能够接收、发射FFSK/MSK信号,同时可提供收发时钟。文中给出了CMX469A的工作原理、应用电路和程序控制流程。 关键词:快速移频键控;CMX469A;调制解调器1 CMX469A的主要特点CMX469A是CML(公司新
两种无线数字调制解调器的设计对比
浏览:47
本文分别采用CMX469A和MSM7512B这两种芯片,设计实现了应用于无线安防监控等领域的数字调制解调器,并对两种方案做了对比分析。
基于CMX469A的无线MODEM设计
浏览:116
CMX469A是CML公司推出的FFSK/MSK全双工MODEM芯片,它内部集成了载波检测、RX时钟恢复电路和振荡电路,并具有很好的信噪比以及低电压、低功耗等特性,能够接收、发射FFSK/MSK信号,同时可提供收发时钟。文中给出了CMX469A的工作原理、应用电路和程序控制流程。
CMX469A和MSM7512B的无线Modem设计对比
浏览:80
CMX469A和MSM7512B的无线Modem设计对比
基于CMX649 CVSD编码的无线语音系统的设计与应用方案
浏览:121
基于CMX649 CVSD编码的无线语音系统的设计与应用方案、电子技术,开发板制作交流
基于stm32的2FSK调制解调器源程序
浏览:197
4星 · 用户满意度95%
原文链接:http://blog.csdn.net/u014754386/article/details/51589326 stm32的调制解调器,能够生成载波,同时可以实现还原基带信号的功能。
MSM6948无线数据传输系统的实现
浏览:199
本文介绍的是通过现有电台在计算机之间进行无线数据传输的实现方法
通信与网络中的CML无线数据IC可加速微型无线modem设计(图)
浏览:100
CML Microcircuits公司近日推出用于微型无线调制解调器(modem)的无线数据IC——CMX990。这款单芯片GMSK分组数据的调制解调器(modem)带有RF收发器,是一种半双工器件,具有通用基带modem、IF及RF处理功能,以及可编程合成器、信号电平设置和完善的片上与外设控制/监视/接口功能。 CMX990通过可选的BT值提供4至16kbps的数据率,RF频率在400M
基于FPGA的FSK调制解调器设计
浏览:166
基于FPGA的FSK调制解调器设计
基于FPGA 的MSK 调制解调器设计与应用
浏览:152
architecture behav of codesdect is signal m : integer range 0 to 3; signal sdata : std_logic_vector(2 downto 0); begin cdata<= wavenum; process(clk,clr) begin if clr='1' then m<=0; els
基于FPGA的MSK调制解调器设计与应用
浏览:93
基于FPGA的MSK调制解调器设计与应用,计和时序仿真。硬件部分在Altera公司EP2C15AF256C8NFPGA上实现。结果表明,数字MSK调制解调器具有相位连续,频带利用率高的优点。关键词:现场可编程逻辑阵列,最小频移键控,调制,时序仿真Abstract:
基于VHDL的2FSK调制解调器设计
浏览:120
在数字通信系统中,数字调制与解调技术占有非常重要的地位。文中介绍了FSK调制解调的基本原理,用VHDL语言实现了2FSK调制解调器的设计,整个系统设计在MAX+plusII开发平台上进行编译仿真,最后在EPM7032LC44-15目标芯片上实现。仿真结果表明此设计方案是可行的,系统具有较高的实用性和可靠性。
基于labview 的虚拟调制解调器的设计
浏览:178
5星 · 资源好评率100%
调制解调器(即Modem),是计算机与电话线之间进行信号转换的装置,由调制器和解调器两部分组成,调制器是把计算机的数字信号(如文件等)调制成可在电话线上传输的声音信号的装置,在接收端,解调器再把声音信号转换成计算机能接收的数字信号。通过调制解调器和电话线就可以实现计算机之间的数据通信。如果没有调制解调器那么便无法连接宽带也就是不能上网.调制解调器和网卡没什么关系,只是内置的调制解调器是集成了调制解
HART调制解调器SYM20C15应用设计
浏览:27
绍HART调制解调器芯片SYM20C15的工作原理及其电路模块,给出其典型应用电路。该芯片专为实现HART协议而设计,与微处理器的接口简单、功耗低,被大量应用于HART智能仪表。
基于DSP的4/πQPSK调制解调器的设计
浏览:2
QPSK调制解调器与具有强大数字信号处理功能的DSP芯片相结合,实现了调制解调的高效实时处理。系统采用TI公司的TMS320C5409为核心处理器,设计了硬件电路系统的3个主要模块,包括A/D转换模块,程序存储器模块和数据存储器模块。将一种简单高效的定时同步算法——最大平均功率定时同步算法引入到系统的解调设计中,以提高定时同步的运算速度和准确性。系统软件采用汇编语言编写,并通过CCS软件仿真,结果
基于FPGA的QPSK调制解调器的设计
浏览:180
4星 · 用户满意度95%
基于FPGA的QPSK调制解调器的设计,用FPGA来实现调制解调的方法
基于TMS320C54X的双调制解调器的设计与实现
浏览:13
我们采用高速DSP技术所设计的双MODEM系统,除了具有一般MODEM的通用功能之外,更主要的是可在有差错的信道中,实时地进行数据的高可靠性甚至无误码传输。
基于FPGA的MSK调制解调器设计与应用.docx
浏览:93
5星 · 资源好评率100%
。。。
基于FPGA的MSK调制解调器设计与应用.pdf
浏览:48
。。。
串口助手工具合集.zip
浏览:136
5星 · 资源好评率100%
收集整理常用的一些串口工具,比如串口波形显示,modbus协议调试,串口多条发送等各种功能软件。
OLED显示温度和时间-STM32F103C8T6(完整程序工程+原理图+相关资料).zip
浏览:199
5星 · 资源好评率100%
OLED 屏幕显示时间,温度。时间可以校准,屏幕通过取模,可以显示汉字。
pn532模拟资料包.zip
浏览:82
5星 · 资源好评率100%
使用pn532实现。手环模拟加密门禁卡的一些必需软件,包括M1T-v1.6.6、M1T-v1.6.6、驱动等。
Vivado license 永久
浏览:130
4星 · 用户满意度95%
2018.3测试可用,各种IP超级齐全,测试了srio可用,Jesd等IP均显示正常,理论上所有版本应该都支持,大家下来看看。
评论
收藏
内容反馈
立即下载
资源评论
资源反馈
评论星级较低,若资源使用遇到问题可联系上传者,3个工作日内问题未解决可申请退款~
联系上传者
评论
finallykun
2018-10-30
老了,帧的是这昂
ChenJX55555555
2013-05-07
这东西太老了.
yuanshude1119
粉丝: 32
资源:
12
私信
上传资源 快速赚钱
我的内容管理
展开
我的资源
快来上传第一个资源
我的收益
登录查看自己的收益
我的积分
登录查看自己的积分
我的C币
登录后查看C币余额
我的收藏
我的下载
下载帮助
前往需求广场,查看用户热搜
最新资源
android-studio-2023.3.1.18-linux.zip.001
android-studio-2023.3.1.18-linux.zip.002
11111111111111111111111
5V3M加湿器整套原理图
医学图像分割数据集:结肠癌(colon)切片分割【包含3个切面划分好的数据集(2类别)、标签文件、可视化代码】
基于QT的局域网聊天室
5V3M加湿器中微单片机源码
ChatGPT教程(终极版).pdf
单片机.zip
chatgpt中文调教指南.pptx
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功