1.本设计芯片默认采用xc7a35tftg256-1型,vivado版本2019.2
2.完美实现设计要求:自行设计一个棋钟,功能如下:
1)棋类比赛中每步棋有时间限制。假设A、B两位棋手比赛,当A棋手落子后按下A键,此时B棋手的秒表开始倒计时,A棋手的秒表恢复为倒计时初 始值;当B棋手落子后按下B键,A棋手的秒表开始倒计时,B棋手的秒表恢复为倒计时初始值。
2)倒计时初始值可设置为15秒或25秒两档
3)当倒计时至5秒内时,蜂鸣器每秒响一下同时led灯闪烁1下。
4)当某选手超时判负时,蜂鸣器长响,led灯持续闪烁,数码管显示出获胜的棋手编号(A或B)。
5)增加其他功能可自由发挥,如能实现有加分。
3.若因为vivado版本不同无法正常加入项目,请打开文件夹>>qimokaoshi0\qimokaoshi\qimokaoshi.srcs\sources_1\new 目录下,用记事本自行打开目录内文件,自己新建设计文件把我的源代码复制进去(最好保持用同样的名称新建设计源文件),之后进入>>qimokaoshi0\qimokaoshi\qimokaoshi.srcs\constrs_1\new 目录用同样的方法新建约束文件并自行拷贝进去。
4.运行说明:
前两组数码分别显示A选手剩余时间;
中间两组数码管显示横线以及负责显示哪位选手胜利;
后两组数码管显示B选手剩余时间;
开关T9=1时倒计时时间为25秒,T9=0时倒计时15秒;
KEY3为A选手的按键;KEY0是选手B的按键;
5.若需要定制本设计( 需要添加附加功能),或者本资源无法使用,请联系QQ1355775177,寻求帮助
没有合适的资源?快使用搜索试试~ 我知道了~
温馨提示
自行设计一个棋钟,功能如下: 1)棋类比赛中每步棋有时间限制。假设A、B两位棋手比赛,当A棋手落子后按下A键,此时B棋手的秒表开始倒计时,A棋手的秒表恢复为倒计时初 始值;当B棋手落子后按下B键,A棋手的秒表开始倒计时,B棋手的秒表恢复为倒计时初始值。 2)倒计时初始值可设置为15秒或25秒两档 3)当倒计时至5秒内时,蜂鸣器每秒响一下同时led灯闪烁1下。 4)当某选手超时判负时,蜂鸣器长响,led灯持续闪烁,数码管显示出获胜的棋手编号(A或B)。 5)增加其他功能可自由发挥,如能实现有加分。 含说明文档
资源推荐
资源详情
资源评论
收起资源包目录
棋钟 电子秒表设计 课设 vivado (390个子文件)
__synthesis_is_complete__ 0B
runme.bat 229B
runme.bat 229B
top.bit 2.09MB
top_routed.dcp 211KB
top_physopt.dcp 196KB
top_placed.dcp 193KB
top_opt.dcp 159KB
top.dcp 45KB
usage_statistics_webtalk.html 38KB
vivado.jou 770B
vivado.jou 765B
ISEWrap.js 8KB
ISEWrap.js 8KB
rundef.js 1KB
rundef.js 1KB
runme.log 31KB
runme.log 26KB
qimokaoshi.lpr 343B
vivado.pb 43KB
place_design.pb 13KB
route_design.pb 13KB
opt_design.pb 11KB
write_bitstream.pb 6KB
init_design.pb 3KB
phys_opt_design.pb 2KB
top_power_summary_routed.pb 722B
top_utilization_synth.pb 244B
top_utilization_placed.pb 244B
vivado.pb 149B
top_methodology_drc_routed.pb 52B
top_timing_summary_routed.pb 52B
top_route_status.pb 44B
top_drc_routed.pb 37B
top_drc_opted.pb 37B
top_bus_skew_routed.pb 30B
top_io_placed.rpt 77KB
top_methodology_drc_routed.rpt 19KB
top_clock_utilization_routed.rpt 14KB
top_utilization_placed.rpt 9KB
top_power_routed.rpt 8KB
top_timing_summary_routed.rpt 8KB
top_utilization_synth.rpt 7KB
top_control_sets_placed.rpt 5KB
top_drc_routed.rpt 5KB
top_drc_opted.rpt 3KB
top_bus_skew_routed.rpt 827B
top_route_status.rpt 588B
top_power_routed.rpx 65KB
top_methodology_drc_routed.rpx 29KB
top_timing_summary_routed.rpx 14KB
top_drc_routed.rpx 7KB
top_drc_opted.rpx 4KB
top_bus_skew_routed.rpx 993B
.vivado.begin.rst 215B
.vivado.begin.rst 212B
.phys_opt_design.begin.rst 177B
.write_bitstream.begin.rst 177B
.place_design.begin.rst 177B
.route_design.begin.rst 177B
.init_design.begin.rst 177B
.opt_design.begin.rst 177B
.vivado.end.rst 0B
.Vivado_Synthesis.queue.rst 0B
.write_bitstream.end.rst 0B
.place_design.end.rst 0B
.vivado.end.rst 0B
.Vivado_Implementation.queue.rst 0B
.init_design.end.rst 0B
.opt_design.end.rst 0B
.route_design.end.rst 0B
.phys_opt_design.end.rst 0B
ISEWrap.sh 2KB
ISEWrap.sh 2KB
runme.sh 1KB
runme.sh 1KB
top.tcl 6KB
top.tcl 3KB
说明.txt 2KB
htr.txt 391B
htr.txt 383B
README.txt 130B
dynamic_led2.v 2KB
top.v 2KB
counter3.v 1KB
counter10.v 1KB
state.v 973B
clk_5000Hz.v 896B
clk_div.v 895B
atate.v 0B
top.vdi 31KB
top.vds 26KB
gui_handlers.wdf 20KB
java_command_handlers.wdf 6KB
synthesis.wdf 5KB
project.wdf 4KB
synthesis_details.wdf 100B
project.wpc 124B
top_propImpl.xdc 4KB
yueshu.xdc 3KB
共 390 条
- 1
- 2
- 3
- 4
付哆哆咯
- 粉丝: 0
- 资源: 3
下载权益
C知道特权
VIP文章
课程特权
开通VIP
上传资源 快速赚钱
- 我的内容管理 展开
- 我的资源 快来上传第一个资源
- 我的收益 登录查看自己的收益
- 我的积分 登录查看自己的积分
- 我的C币 登录后查看C币余额
- 我的收藏
- 我的下载
- 下载帮助
最新资源
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功
- 1
- 2
前往页