没有合适的资源?快使用搜索试试~
我知道了~
文库首页
安全技术
网络攻防
AD7988_ad采样_
AD7988_ad采样_
共2个文件
v:2个
1.该资源内容由用户上传,如若侵权请联系客服进行举报
2.虚拟产品一经售出概不退款(资源遇到问题,请及时私信上传者)
版权申诉
ad采样
5星
· 超过95%的资源
2 下载量
152 浏览量
2021-09-30
11:13:10
上传
评论
收藏
2KB
ZIP
举报
温馨提示
限时特惠:¥11.90
31.90
AD7988芯片的控制和采样时序,可以作为测试程序进行验证
资源推荐
资源详情
资源评论
由AD7988等组成的简单数据采集系统
浏览:20
在以前的博客中,我也向大家介绍过几款高性能、低功耗的ADC,以及为ADC器件提供很好基准源的基准源芯片,在此我向大家介绍一套由基准源、信号放大的运算放大器及ADC组成的低功耗、高性能的数据采集系统,其中2.5V的基准源选用ADI公司的ADR4525,运算放大器选用ADI公司的ADA4841和ADI公司的16位、100KSPS脉冲型ADC芯片AD7988组成的简单数据采集系统。
ADC_AD7988-5.zip
浏览:54
ADC_AD7988-5.之官方C语言驱动程序
ad.zip_ad_ad 采样_ad采样_c语言 项目 报告
浏览:140
c语言实现AD采样实验
STM32 读写AD7888程序
浏览:27
AD7888 stm32程序 详细的书写了SPI 读写AD7888,程序已测试,完全可以使用
ad采样设计参考
浏览:86
IC设计中AD部分文档6份,希望对采样设计人员有参考价值
51单片机ad采样
浏览:55
4星 · 用户满意度95%
51单片机ad采样,通过外置芯片进行采样
文献检索等汇总_ad采样_
浏览:166
求AD7606用STM32F103控制采集电压值的程序,外加显示在TM1650的数码管上
ad采样C程序
浏览:40
ad采样C程序
基于verilog的ad采样
浏览:185
3星 · 编辑精心推荐
通过fpga对ad采样的5路信号进行处理
ADC.rar_ad采样_rs485.h_数据AD采集_程序 ad 7663_采样
浏览:129
5星 · 资源好评率100%
数据采集AD/DA采样采样程序数据采集AD/DA采样采样程序
CCS.rar_2812_2812 ad_CCS的AD采样_ccs采样_ccs采样代码
浏览:63
AD转换代码 采样2812。。。。。。。。。。。。。。。。。
ad6645 105M资料 新版 (1).zip_AD6645_ad_ad6645驱动_ad采样_采样
浏览:118
105M高采样速率AD模块,内含相关驱动
AD.zip_AD差分采样_差分_差分 ad_差分AD 采集_差分ad stm32
浏览:110
5星 · 资源好评率100%
AD差分通道采样,通过ADC0和ADC1进行差分电压的显示。
ad采样 Verilog
浏览:24
基于FPGA的ad采集 用veilog语言的源代码 适合初学者
控制ad的采样状态机vhdl
浏览:86
5星 · 资源好评率100%
高速模数转换器AD并不能自动对数据进行转换,需要外加控制程序,此代码就是控制ad采样的状态机
基于fpga的ad采样
浏览:135
基于fpga与ad之间的高速采样, LCD_EN : out std_logic; --液晶时钟信号 ad_in:in std_logic_vector(7 downto 0); LCD_Data : out std_logic_vector(7 downto 0)); --液晶数据信号 end LCD1602; architecture Behavioral of LC
asm.rar_ad采样
浏览:184
包括开关量输入输出,模拟量的输入输出,显示电路,实现对小键盘的管理,及对6个LED显示块的控制,编制程序实现用数码管显示AD转换值,采样周期为0.5秒,最终系统联调
read_adc.rar_ad采样_read ad
浏览:102
基于TI公司TMSF2812DSP芯片的电流AD采样源程序,采样结果精准有效
ad_conv.rar_ AD_CONV_VHDL 电压采样_ad_vhdl ad_电压采样
浏览:37
5星 · 资源好评率100%
利用CPLD来控制AD进行电压采样,并将采样值输出
lab8-AD.zip_28335 8路采样_DSP28335 AD_DSP28335 电流采样_DSP28335的AD采样_d
浏览:11
5星 · 资源好评率100%
DSP28335的AD采样程序,实现将外部模拟量转换成内部数字量的功能
cdq.zip_AD采样asm_EM78P458_电压AD采样_电压采样
浏览:19
em78p458充电器源码,有ad转换,温度采样,电压采样
DA.zip_AD转换_AD采样 verilog_QT_Verilog AD
浏览:20
verilog 串行AD转换 TLC549AD采样程序
PWM_AD _interrupt_dsp;ad;pwm_dsp28335_DSP28335AD采样_
浏览:59
5星 · 资源好评率100%
利用研旭dsp28335开发板的pwm模块实现10khz的采样频率,并在中断中点亮小灯
基于attiny13的pwm和ad采样程序
浏览:35
这是我用attiny13做的pwm和ad采样程序,通过改变采样电压来控制占空比大小,调试成功! 这是我用attiny13做的pwm和ad采样程序,通过改变采样电压来控制占空比大小,调试成功!
ad2.rar_2812_2812 ad_F2812_ad 采样_tms320f2812 ad
浏览:166
tms320f2812 ad采样程序 基于DEC2812
16位ad采样源代码,ad7606
浏览:160
3星 · 编辑精心推荐
16位AD采样程序,基于DMA方式信息采样值。经典,芯片为ADI公司的AD7606
stm32f103rc ad采样
浏览:147
stm32f103rc实现两路电压采集和一路dht11采集,串口打印数据
adc16.rar_16路_STM32 AD_STM32 AD 16路_stm32 ad采样_完成16路ad采样
浏览:156
基于stm32的16路AD采样程序,完成16路AD采样
收起资源包目录
AD7988.zip
(2个子文件)
AD7988.v
4KB
mod_AD7988.v
1KB
共 2 条
1
评论
收藏
内容反馈
1.该资源内容由用户上传,如若侵权请联系客服进行举报
2.虚拟产品一经售出概不退款(资源遇到问题,请及时私信上传者)
版权申诉
限时特惠:¥11.90
31.90
资源评论
资源反馈
评论星级较低,若资源使用遇到问题可联系上传者,3个工作日内问题未解决可申请退款~
联系上传者
评论
weixin_45829671
2022-03-03
用户下载后在一定时间内未进行评价,系统默认好评。
北极大吉熊
2024-04-15
这个资源总结的也太全面了吧,内容详实,对我帮助很大。
食肉库玛
粉丝: 57
资源:
4740
私信
下载权益
C知道特权
VIP文章
课程特权
VIP享
7
折,此内容立减3.57元
开通VIP
上传资源 快速赚钱
我的内容管理
展开
我的资源
快来上传第一个资源
我的收益
登录查看自己的收益
我的积分
登录查看自己的积分
我的C币
登录后查看C币余额
我的收藏
我的下载
下载帮助
前往需求广场,查看用户热搜
最新资源
基于改进YOLOv7算法的车辆目标检测系统项目源码+文档说明(高分项目)
php-leetcode题解之分糖果.zip
php-leetcode题解之分发饼干.zip
php-leetcode题解之翻转图像.zip
php-leetcode题解之翻转二叉树.zip
php-leetcode题解之二进制矩阵中的最短路径.zip
php-leetcode题解之二叉搜索树中的搜索.zip
php-leetcode题解之二叉树的最小深度.zip
php-leetcode题解之二叉树的最大深度.zip
php-leetcode题解之二叉树的中序遍历.zip
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功