没有合适的资源?快使用搜索试试~
我知道了~
文库首页
安全技术
网络攻防
lcd.c.rar_断码_断码 lcd_断码LCD_断码LCD程序
lcd.c.rar_断码_断码 lcd_断码LCD_断码LCD程序
共14个文件
bak:2个
obj:2个
uv2:1个
1.该资源内容由用户上传,如若侵权请联系客服进行举报
2.虚拟产品一经售出概不退款(资源遇到问题,请及时私信上传者)
版权申诉
0 下载量
50 浏览量
2022-09-23
10:23:01
上传
评论
收藏
14KB
RAR
举报
温馨提示
限时特惠:¥9.90
19.90
LCD断码程序参考,对初学者是一篇很好的学习资料。
资源推荐
资源详情
资源评论
LCD.rar_LCD界面程序
浏览:128
实时时钟的LCD显示程序,用于单片机的图形界面开发
lcd.c.rar_LCD.C
浏览:41
PIC 中关于LCD的操作,我希望对大家一定有些帮助.
lcd.rar_LCD
浏览:169
这是一个用汇编语言编写的LCD液晶显示的源程序,可以直接拿来用,不用做什么修改
lcd.rar_MSP430F LCD_断码 lcd_断码液晶
浏览:95
利用msp430f427控制断码液晶,使其可以显示“6543210”。
_3_seg7x8_test_bsp.rar_断码表7seg
浏览:82
基于nios的7断码显示与控制,nios主频100兆
shuzi.rar_单片机开发_C/C++_
浏览:97
数字秒表单片机控制,按钮控制显示,数码管断码口使用P0
LCD多级菜单程序
浏览:152
4星 · 用户满意度95%
LCD多级菜单,结构简单,任意添加菜单层次,具有研究价值。
断码公式含程序.doc
浏览:152
断码公式含程序.doc
段码LCD液晶屏驱动方法_段码液晶驱动_段码LCD液晶屏驱动方法_段码屏驱动_段码驱动_段码屏_
浏览:33
5星 · 资源好评率100%
段码LCD液晶屏驱动方法
断码屏驱动.rar 3*9 液晶断码屏驱动,不是数码管
浏览:44
3*9断码屏驱动程序,数码管!可以正常跑的,包括原理都在里边!!
蓝桥杯单片机——18 数码管时钟+按键.rar
浏览:196
蓝桥杯单片机——18 数码管时钟+按键,这个是我学习蓝桥杯单片机时,通过B站上的各种视频资源整理出来的代码。 此代码采用MM口,详见我之前分享的蓝桥杯单片机——13 IO和存储器映射扩展技术应用。...
04-LCD取模教程.zip
浏览:148
LCD取模
lcd.rar_LCD.C
浏览:122
LCD.C,作为LCD的几个子程序,大家可以自己看看,已调试
LCD/数码管断码屏编码译码工具
浏览:191
支持 COM1 ~COM4 任意顺序,MSB/LSB 点阵 生成译码表,典型应用 LCD断码屏 译码编码.
一文解析段码LCD液晶屏驱动方法
浏览:149
生活中小电器见到最多的lcd模组就是段码lcd液晶屏,段码lcd有普通的数码管的特征,又有点阵LCD的特征,固定的图形,优点是省成本而有好看,那么段码LCD液晶屏是怎么驱动的呢?段码LCD液晶屏是如何显示的呢?跟随小编...
STM32F1 4COMLCD段码屏驱动程序
浏览:105
5星 · 资源好评率100%
该LCD段码屏的参数为:4COM,1/3bias 其中6位用来显示数字,LCD段码屏自带有HT1621芯片
利用单片机的IO口直接驱动断码屏
浏览:143
利用单片机的IO口直接驱动断码屏
eda实验修改7段码的值,来显示你要显示的数
浏览:56
你可以修改7段码的值,来显示你要显示的数--本实验,按下板上的四个KEY时,数码管显示得到的数据 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all;...
收起资源包目录
lcd.c.rar
(14个子文件)
timeclock_Opt.Bak
934B
timeclock
1KB
timeclock.OBJ
1KB
timeclock.Opt
929B
timeclock.lnp
50B
timeclock.asm
3KB
lcdtest
3KB
timeclock.plg
153B
LCD真值表.xls
21KB
timeclock_Uv2.Bak
0B
lcdtest_main.OBJ
3KB
timeclock.Uv2
2KB
timeclock.LST
11KB
timeclock.M51
5KB
共 14 条
1
评论
收藏
内容反馈
1.该资源内容由用户上传,如若侵权请联系客服进行举报
2.虚拟产品一经售出概不退款(资源遇到问题,请及时私信上传者)
版权申诉
限时特惠:¥9.90
19.90
资源评论
资源反馈
评论星级较低,若资源使用遇到问题可联系上传者,3个工作日内问题未解决可申请退款~
联系上传者
评论
局外狗
粉丝: 66
资源:
1万+
私信
上传资源 快速赚钱
我的内容管理
展开
我的资源
快来上传第一个资源
我的收益
登录查看自己的收益
我的积分
登录查看自己的积分
我的C币
登录后查看C币余额
我的收藏
我的下载
下载帮助
前往需求广场,查看用户热搜
最新资源
redis大纲资料.txt
python学习导航.txt
stm大纲资料.txt
1_robot_baibi.zip
C++list介绍及详细使用示例(源代码)
Pytorch框架基于Bert构建词向量+Bi-LSTM+Attention实现情感分类任务python源码+数据集.zip
####这是一篇对软件测试实验报告详细介绍(含代码)
这是一篇关于白盒测试的详细描述文章.docx
###这是一篇描述vector的教程文章
基于RISC-V的一个简单的五级流水线CPU设计源码+项目说明.zip
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功